uvm sequence library的使用

本教程只列出关键代码。其余代码需要自己补全。
step one:
创建seq_library

class seq_lib extends uvm_sequence_library #(packet);
  `uvm_object_utils(seq_lib)
  `uvm_sequence_library_utils(seq_lib) //must need
  function new(string name = "seq_lib");
    super.new(name);
    init_sequence_library(); // must need 
  endfunction
endclass


step two:
将要使用的sequence注册到lib里面

class seqone extends uvm_sequence #(packet);
  `uvm_object_utils(seqone)
  `uvm_add_to_seq_lib (seqone, seq_lib)
  ............
endclass

class seqtwo extends uvm_sequence #(packet);
  `uvm_object_utils(seqtwo)
  `uvm_add_to_seq_lib(seqtwo, seq_lib)
   .............
endclass
  

step 3
连接seq_lib(本质也是个sequence)和 senquencer 。

class env extends uvm_env;
..........
function build_phase(uvm_phase phase);
  super.build_phase(phase);
  uvm_config_db #(uvm_object_wrapper)::set(this, "inagent.seqr.main_phase","default_sequence", seq_lib::type_id::get());
........
endclass

step 4
构造并配置library cfg。

class testone extends uvm_test;
..........
uvm_sequence_library_cfg seq_lib_cfg;
...........
function void build_phase(uvm_phase phase);
  super.build_phase(phase);
  seq_lib_cfg = new("seq_lib_cfg", UVM_SEQ_LIB_RAND, 2, 5);
  uvm_config_db #(uvm_sequence_library_cfg)::set(this, "env.inagent.seqr.main_phase","default_sequence.config", seq_lib_cfg);
endfunction
endclass

step 5
make 去编译执行。放一张设置执行完后会打印的info。组件和上面定义名字有出入。
在这里插入图片描述
selection mode 总共四种。随便找本手册都能拿查到。就不赘述了。_

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值