牛客网Verilog刷题 | 快速入门-基础语法

1、VL1 四选一多路器

描述

制作一个四选一的多路选择器,要求输出定义上为线网类型

状态转换:

d0 11
d1 10
d2 01
d3 00

信号示意图:
在这里插入图片描述
在这里插入图片描述
输入描述:
输入信号 d1,d2,d3,d4 sel
类型 wire

输出描述:
输出信号 mux_out
类型 wire

`timescale 1ns/1ns
module mux4_1(
input [1:0]d1,d2,d3,d0,
input [1:0]sel,
output[1:0]mux_out
);
//*************code***********//
reg mux_out;
always@(d0 or d1 or d2 or d3 or sel) begin
    case(sel)
        2'b00:       mux_out <= d3;
        2'b01:       mux_out <= d2;
        2'b10:       mux_out <= d1;
        2'b11:       mux_out <= d0;
    endcase 
end

//*************code***********//
endmodule

2、

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Time木

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值