Questa Sim使用教程-仿真示例

Questa Sim0基础仿真教程

一、 打开软件

1、新建工程
File -> New -> project
在这里插入图片描述
2、填写工程名称和工程位置然后点"ok"
在这里插入图片描述
3、编写或者添加文件,这里直接添加一个编写好的反相器的文件。
可关注公众号:Time木
回复:反相器
获取文件,公众号 会不定期更新计算机与IC相关内容
在这里插入图片描述
4、选择编写好的文件,然后选择第二个框,防止改变原文件。
在这里插入图片描述
5、成功添加文件如下
在这里插入图片描述
6、先选中要编译的文件,在点击序号2进行编译。
在这里插入图片描述
如果编译失败,可右键选中的文件,点击edit可进行改动。
在这里插入图片描述
编译成功
在这里插入图片描述

二、运行编译后的文件

1、点击左下角的“library”
在这里插入图片描述
2、点开work库,会发现两个文件,下面一个是分离出来的测试文件
在这里插入图片描述
3、右点击inv_tb文件,选择“simulate without optimization”
忘了截图,
4、随后是这
在这里插入图片描述
5、现在还没有波形图
右击所要观察的信号 然后 add wave
在这里插入图片描述
6、如下,但还没波形图在这里插入图片描述
7、
在这里插入图片描述

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Questasim 是 Mentor Graphics 公司开发的一款高性能 HDL 仿真器,适用于 Verilog、SystemVerilog 和 VHDL 等硬件描述语言的仿真和验证。以下是 Questasim使用教程: 1. 安装 Questasim 软件 首先需要在 Mentor Graphics 官网注册并下载 Questasim 软件,然后按照安装向导进行安装。安装完成后,需要设置 Questasim 的环境变量,包括 QUARTUS_ROOTDIR 和 QUESTASIM_ROOTDIR。 2. 创建仿真工程 在 Questasim 中创建仿真工程需要先创建一个工作目录,然后在该目录下创建一个新的工程,可以使用以下命令: ``` vlib work vmap work work ``` 其中,vlib 命令用于创建工作库,vmap 命令用于将工作库映射到一个名为 work 的别名。 3. 添加仿真文件 将需要仿真Verilog、SystemVerilog 或 VHDL 文件添加到工程中,可以使用以下命令: ``` vlog -work work <file_name> ``` 其中,vlog 命令用于编译 Verilog、SystemVerilog 或 VHDL 文件,并将编译结果添加到工作库中。 4. 设置仿真参数 在进行仿真前需要设置仿真参数,包括仿真时间、仿真精度、仿真时钟等。可以使用以下命令设置仿真时间: ``` vsim -t <sim_time> -L <library_name> -L <library_name> <testbench> ``` 其中,-t 参数用于设置仿真时间,-L 参数用于添加库文件,<testbench> 是仿真的顶层模块。 5. 运行仿真 在设置好仿真参数后,可以运行仿真,可以使用以下命令: ``` run -all ``` 该命令会一直运行仿真,直到仿真结束或者手动停止。 以上就是使用 Questasim 进行仿真的基本步骤,需要根据具体的需求进行调整和优化。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Time木

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值