小武学fpgaStep2

vivado ila的使用

ILA是监控内部逻辑信号和端口信号  (检测fpga出或者入的信号)

VIO监控和驱动逻辑内部信号和端口信号(可以给fpga需要的信号)

步骤1左上角ip catalog选择ILA的 ip核,然后在弹出的搜索框中输入ila进行搜索。

 选择ila 之后定义名字,探针数量,以及探测深度。在第二页选择单个探针的位宽。

 选择ok ,global是每次综合的时候都会综合这个,ooc是ila改变的时候才会重新综合一般ooc

在这里双击可以重新修改ila的相关信息。在ipsources里面选择ila.veo可以复制ila的模块到工程里并使用。

 将对应的端口号映射到上面就可以点击生成,生成bit流的时候会生成对应的ltx。

烧录进去之后会出现ila界面  右下角可以选择触发条件

 ps选择ila的时候也可以通过原理图进行配置。在右上角选择debug(之前选择io planning的地方)注意输入输出是要在sbuf上进行选择。而非输入非输出的中间变量需要在代码前加上下图的代码防止被优化掉。

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值