移位运算与乘法

移位运算与乘法

题目描述
已知d为一个8位数,请在每个时钟周期分别输出该数乘1/3/7/8,并输出一个信号通知此时刻输入的d有效(d给出的信号的上升沿表示写入有效)
信号示意图
在这里插入图片描述
波形示意图
在这里插入图片描述

`timescale 1ns/1ns
module multi_sel(
input [7:0]d ,
input clk,
input rst,
output reg input_grant,
output reg [10:0]out
);
//*************code***********//
    reg[1:0] count;//计算0-3
    always@(posedge clk or negedge rst)
        begin
            if(!rst)
                count <= 0;
            else
                count <= count + 1;
        end

    //根据波形可以看出不能根据d的值直接给出out的值,所以先对d的值进行寄存,或者使用状态机对其进行赋值
    reg [7:0]d1;
    always@(posedge clk or negedge rst)
        begin
            if(!rst)
                begin
                    out <= 11'b0;
                    input_grant <= 1'b0;
                    d1 <= 8'b0;
                end
               else
                   begin
                       case(count)
                           2'b00:begin
                               out <= d;
                               d1 <= d;
                               input_grant <= 1'b1;
                           end
                           2'b01:begin
                               out <= d1 + {d1,1'b0};
                               input_grant <= 1'b0;
                           end
                            2'b10:begin
                                out <= d1 + {d1,1'b0}+ {d1,2'b0};
                               input_grant <= 1'b0;
                           end   
                           2'b11:begin
                               out <= {d1,3'b0};
                               input_grant <= 1'b0;
                           end
                           default:begin
                                        out <= 11'b0;
                                        input_grant <= 1'b0;
                                        d1 <= 8'b0;
                           end
                       endcase
                   end
        end
//*************code***********//
endmodule

知识点
移位运算符(<<,>>)
双目运算符:两个操作数
移位可以实现无符号数的乘除法,有符号的乘法
补零
"<<"低位补零,无符号/有符号乘法
">>"高位补零,无符号数除法

拼接运算符{}
a=4’b1110;

g = {a,1’b0} = 5’b111100;//拼接->乘法
h = {a[2:0],1’b0} = 4’b1100;//拼接->乘法
i = {1’b0,a[3:1]} = 4’b0111;//拼接->无符号数除法
j = {1’b1,a[3:1]} = 4’b0111;//拼接->有符号数除法

注意:如果表达式中有一个无符号数,则所有的操作数都会被强行转换为无符号数。

  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值