用格雷码计数器实现FIFO指针

1.格雷码计数器的设计

上图中,Gray Code reg存储格雷码值,将其输出至gray-to-binary,转换为2进制并且加一,后进入binary-to-gray,最后更新Gray Code reg的值。

2.格雷码转二进制(gray-to-binary)

为了将格雷码值转换为等效的二进制码值,使用n位格雷码值作为示例,二进制位0等于格雷码位0与从1到n的所有其它格雷码位的异或的异或。二进制位1等于格雷码位1与从2到n等的所有其他格雷码位进行异或。最高有效二进制位恰好等于最高有效格雷码位。4位格雷码到二进制转换的公式如图下所示。编写格雷码转二进制的最简单方法是编写一个for循环,并对具有可变索引范围的格雷码进行异或归约,其中每次通过循环,索引范围的LSB都会增加,直到我们得到bin[MSB] = ^gray[MSB:MSB]的简单赋值(仅为格雷码向量的1位MSB),如下所示。

但是,Verilog不允许使用变量索引范围进行bit位的部分选择,因此上图中的代码尽管在概念上是正确的,但无法编译。

另一种方法格雷码到二进制转换是用填充的0对有效格雷码位进行异或,如下图所示。

该算法的相应参数化Verilog模型如下所示,通过右移左侧补零来实现。这个例子在语法上是正确的,可以编译并且可以正常工作。

3.二进制转格雷码(binary-to-gray)

为了将二进制值转换为等效的格雷码值,使用n位二进制值作为示例,格雷码位0等于二进制位0和1的异或。格雷码位1等于二进制位1和2的异或,等等。最高有效格雷码位正好等于最高有效二进制位。4位二进制到灰度转换的公式如下图所示。

编写二进制转格雷码的最简单方法是编写一个简单的连续赋值,二进制和其右移一位的值执行按位异或运算,如下所示。这个例子在语法上是正确的,可以编译并且可以正常工作。

4.格雷码计数器的实现

格雷码计数器的Verilog代码包含一个格雷码到二进制转换、一个二进制到格雷码转换,并在转换之间二进制值的递增。格雷码计数器的参数化Verilog模型如下所示。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值