FPGA约束文件–UCF文件

FPGA约束文件–UCF文件


前言

FPGA设计中的约束文件有3类:用户设计文件(.UCF文件)、网表约束文件(.NCF文件)以及物理约束文件(.PCF文件),可以完成时序约束、管脚约束以及区域约束。这三类约束文件的关系为:用户在设计输入阶段编写UCF文件,然后UCF文件和设计综合后生成NCF文件,最后再经过实现后生成PCF 文件。

一、创建UCF文件

ISE中PlanAhead 可以用来分配引脚,分配好后点击保存会自动生成一个 UCF 文件,但那样操作麻烦,所以直接创建一个用户约束文件,即UCF 文件,在文件中手动输入时序约束语句和引脚分配语句。

  1. 第一步
    在这里插入图片描述
  2. 第二步
    请添加图片描述
    选好名字和文件路径点击NEXT就行了。

二、编写UCF文件。

常见的我们需要用到以下约束语句和引脚分配语句:

  1. NET:端口关键字,用来引出端口,需要和程序中的一样,例如clk,rst_n;需要注意的是,像input [3:0] A 要写成A[3]、A[2]、A[1]、A[0]。

  2. LOC:引脚关键字,后面接等于号用来把端口分配到具体引脚。

  3. IOSTANDARD:电压标准关键字,后面接等于号用来约束引脚的电压如 IOSTANDARD = “LVCMOS33”。表达的是 IO BNAK 电压为 3.3V,所以设置为“3.3-V LVTTL”。在这里插入图片描述

  4. TNM_NET(timing name for nets):网络约束,把时钟(sys_clk)选出来赋予命名如 TNM_NET =sys_clk_pin,并对整个网络所在路径的所有有效同步元件进行约束,可以穿过 IBUFG。

  5. TIMESPEC、PERIOD:周期约束,用来约束时钟周期如 TIMESPEC TS_sys_clk_pin = PERIOD
    sys_clk_pin 50000 kHz。
    在这里插入图片描述


更多语句可以专门去看一下,像是通配符啊,优先级啊,等等还有很多,在此就不多废话了,然后点击保存后就可以进行编译了

  • 6
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值