FPGA中BIT文件的速率配置与压缩

VIVADO控制BIT文件配置速度与压缩


前言

FPGA加载程序有时候会需要用外置FLASH,有些FLASH的传输速率可能没有那么高,容量小,导致上电后程序不能正常加载到FPGA或者不能烧录到FLASH芯片,所以需要我们在生成BIT文件进行降速处理和压缩处理,这里有两种方式介绍如何改变我们BIT文件的配置操作

一、采用XDC约束文件

这个是最简单暴力的操作,只需要打开XDC文件
输入set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design],对文件进行压缩;
输入set_property BITSTREAM.CONFIG.CONFIGRATE N [current_design],设置文件配置速度,其中N的单位为MHz,例如要配置9MHz的配置速度,约束只需要加上“set_property BITSTREAM.CONFIG.CONFIGRATE 9 [current_design]”即可
在这里插入图片描述

二、配置页面配置

打开RTL ANALYSIS–>Open Elaborated Design,在该页面下打开PROJECT MANAGER–>Settings–>Configuration下,其中Configuration Rate选择合适的配置速率,Tristate CCLK pin during reconfiguration选择是否压缩
在这里插入图片描述

三、题外–如何去提高综合速度

方法一:

在Vivado tcl console中输入:

set param general.maxThreads n

n为CPU线程数,默认为8。

检查是否成功设置可在Vivado tcl console中输入:

get_param general.maxThreads

方法二:

使用Linux系统中的Vivado。linux系统下的Vivado综合速度更快。

  • 8
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值