Verilog设计0到99两位十进制加法计数器

1.代码

module cnt10_2bit(clk,rst,en,out0,out1,data);
input clk,en,rst;
output [3:0] out0;
output [3:0] out1;
output [7:0] data;
reg [3:0] out0;//个位
reg [3:0] out1;//十位
wire [7:0] data;

always @(posedge clk or negedge rst)
   if(!rst)
      begin
         out0=0;
         out1=0;
      end
   else if(en)
      begin
         if(out0==9)
            begin
               out0<=0;
               out1=out1+1;
            end
         else
            out0<=out0+1;
         if(out1==10)
            out1<=0;
         else
            out1=out1;       
      end
assign data=(10*(out1))+out0;    
endmodule
				
					  

2.仿真波形

liaorongxiao

liaorongxiao

 

 

评论 16
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值