计数器(2):递增再递减,不断循环

要求:

设计一个数x,它先递增10次,然后递减10次,又递增10次,循环反复。

代码: 

module count
//---------------------<端口声明>-------------------------------------------
(
input  wire             clk                 , //时钟,50Mhz
input  wire             rst_n               , //复位,低电平有效
output reg   [3:0]      x                   ,
output reg              flag_x
);

//--------------------------------------------------------------------------
//--   程序开始
//--------------------------------------------------------------------------
always @(posedge clk or negedge rst_n) begin
    if (!rst_n)
        x <= 0;
    else if(flag_x==0 && x<9)
        x <= x + 1;
    else if(flag_x==1 && x>0)
        x <= x - 1;
end

always @(posedge clk or negedge rst_n) begin
    if (!rst_n)
        flag_x <= 0;
    else if(flag_x==0 && x==9)
        flag_x <= 1;
    else if(flag_x==1 && x==0)
        flag_x <= 0;
end



endmodule

仿真波形:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值