uvm实战(32位全加器)

(1)首先写明32位加法器的verilog代码
在这里插入图片描述
在这里插入图片描述
(2)interface.sv(使用时钟块控制同步信号的时序)

在这里插入图片描述

(3)my_transaction.sv(相当于数据包,具有生命周期,派生于uvm_object的派生类,uvm_sequence_item类)

  • 为激励成员指定rand属性
  • 将自定义的事务类向UVM注册(uvm_object_utils,uvm_field_int)
  • 约束项,控制随机成员的随机范围
  • uvm中包含着field_automatic机制,可以通过宏uvm_object_utils_begin和宏uvm_object_utils_end来实现my_transaction的factory注册,根据数据类型的不同,分为:
  • 10
    点赞
  • 68
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值