VHDL D触发器程序

由于目前正在复习VHDL语言,所以就简简单单的归纳了相关的程序。若有错误的地方,还望指正。

带使能端的D触发器
Entity Dcf is
Port(clk,rst:in std_logic;
           d:in std_logic;
           ce:in std_logic; --使能端
           q:out std_logic);
end Dcf;
Architecture Behavior of Dcf is
begin
process(rst,clk)
begin
if rst='0' then
    q<='0';
elsif rising_edge(clk) then
    if ce='1' then
        q<=d;
    end if;
end if;
end process;
end Behavior;

  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值