【牛客】VL68 同步FIFO

8 篇文章 1 订阅

描述

请设计带有空满信号的同步FIFO,FIFO的深度和宽度可配置。双口RAM的参考代码和接口信号已给出,请在答案中添加并例化此部分代码。
电路的接口如下图所示。端口说明如下表。
接口电路图如下:

在这里插入图片描述

双口RAM端口说明:

端口名I/O描述
wclkinput写数据时钟
wencinput写使能
waddrinput写地址
wdatainput输入数据
rclkinput读数据时钟
rencinput读使能
raddrinput读地址
rdataoutput输出数据

同步FIFO端口说明:

端口名I/O描述
clkinput时钟
rst_ninput异步复位
wincinput写使能
rincinput读使能
wdatainput写数据
wfulloutput写满信号
remptyoutput读空信号
rdataoutput读数据

参考代码如下:

module dual_port_RAM #(parameter DEPTH = 16,
                       parameter WIDTH = 8)(
     input wclk
    ,input wenc
    ,input [$clog2(DEPTH)-1:0] waddr  
    ,input [WIDTH-1:0] wdata        
    ,input rclk
    ,input renc
    ,input [$clog2(DEPTH)-1:0] raddr  
    ,output reg [WIDTH-1:0] rdata       
);

reg [WIDTH-1:0] RAM_MEM [0:DEPTH-1];

always @(posedge wclk) begin
    if(wenc)
        RAM_MEM[waddr] <= wdata;
end 

always @(posedge rclk) begin
    if(renc)
        rdata <= RAM_MEM[raddr];
end 

endmodule 

输入描述:

input clk ,
input rst_n ,
input winc ,
input rinc ,
input wdata ,

输出描述:

output reg wfull ,
output reg rempty ,
output wire rdata


`timescale 1ns/1ns

/**********************************RAM************************************/
module dual_port_RAM #(parameter DEPTH = 16,
					   parameter WIDTH = 8)(
	 input wclk
	,input wenc
	,input [$clog2(DEPTH)-1:0] waddr  
	,input [WIDTH-1:0] wdata      	
	,input rclk
	,input renc
	,input [$clog2(DEPTH)-1:0] raddr  
	,output reg [WIDTH-1:0] rdata 		
);

reg [WIDTH-1:0] RAM_MEM [0:DEPTH-1];

always @(posedge wclk) begin
	if(wenc)
		RAM_MEM[waddr] <= wdata;
end 

always @(posedge rclk) begin
	if(renc)
		rdata <= RAM_MEM[raddr];
end 

endmodule  

/**********************************SFIFO************************************/
module sfifo#(
	parameter	WIDTH = 8,
	parameter 	DEPTH = 16
)(
	input 					clk		, 
	input 					rst_n	,
	input 					winc	,
	input 			 		rinc	,
	input 		[WIDTH-1:0]	wdata	,

	output reg				wfull	,
	output reg				rempty	,
	output wire [WIDTH-1:0]	rdata
);

localparam DEPTH_WIDTH = $clog2(DEPTH);

reg [DEPTH_WIDTH : 0] 	rd_ptr_r = 'd0, 
						wr_ptr_r = 'd0;

wire fifo_wenc_s, fifo_renc_s;
wire [WIDTH-1:0] fifo_wdata_s, fifo_rdata_s;
reg [WIDTH-1:0] rdata_r;
reg fifo_wenc_r = 1'b0;

assign fifo_wenc_s = ~wfull & winc;
assign fifo_renc_s = ~rempty & rinc;
assign fifo_wdata_s = wdata;
assign rdata = fifo_rdata_s; 

// always @(posedge clk, negedge rst_n) begin
// 	if (~rst_n) begin
// 		rdata_r <= 'd0;
// 		fifo_wenc_r <= 1'b0;
// 	end else begin
// 		fifo_wenc_r <= fifo_wenc_s;
// 		if (fifo_wenc_r) begin
// 			rdata_r <= fifo_rdata_s;
// 		end
// 	end
// end


always @(posedge clk, negedge rst_n) begin
	if (~rst_n) begin
		wr_ptr_r <= 'd0;
	end else begin
		if (~wfull & winc) begin
			wr_ptr_r <= wr_ptr_r + 1;
		end
	end
end

always @(posedge clk, negedge rst_n) begin
	if (~rst_n) begin
		rd_ptr_r <= 'd0;
	end else begin
		if (~rempty & rinc) begin
			rd_ptr_r <= rd_ptr_r + 1;
		end
	end
end

always @(posedge clk, negedge rst_n) begin
	if (~rst_n) begin
		rempty <= 'd0;
		wfull <= 'd0;
	end else begin
		rempty <= (rd_ptr_r == wr_ptr_r);
		wfull <= (rd_ptr_r[DEPTH_WIDTH] != wr_ptr_r[DEPTH_WIDTH]) && (rd_ptr_r[DEPTH_WIDTH - 1 : 0] == wr_ptr_r[DEPTH_WIDTH - 1 : 0]);
	end
end

dual_port_RAM #(
	.DEPTH(DEPTH),
	.WIDTH(WIDTH)
) dual_port_RAM_inst(									 
	.wclk(clk)								,
	.wenc(fifo_wenc_s)						,
	.waddr(wr_ptr_r[DEPTH_WIDTH - 1 : 0]) 	,
	.wdata(fifo_wdata_s)      				,
	.rclk(clk)								,
	.renc(fifo_renc_s)						,
	.raddr(rd_ptr_r[DEPTH_WIDTH - 1 : 0]) 	,
	.rdata(fifo_rdata_s) 		
);
    
endmodule
  • 3
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

wjh776a68

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值