【AXIS】AXI-Stream FIFO设计实现(三)——不同位宽

除了基本模式和包模式外,在上下游模块数据位宽不一致的情况下,FIFO还常常承接位宽转换的作用。

图片

对于位宽转换常常采用多个小位宽数据通过拼接成一个大位宽数据的方式。特别的,为了简化设计,大位宽数据的位宽应该是小位宽数据位宽的整倍数。(当遇到不是整倍数的情况,可以采用多个位宽转换串接的方式)

接口定义

对于位宽转换模块的接口定义如下,

axis_dwidth #(
    parameter M_TDATA_WIDTH = 4,
    parameter S_TDATA_WIDTH = 2
) (
    input   logic clk,


    input   logic [M_TDATA_WIDTH - 1 : 0]   m_axis_tdata,
    input   logic                           m_axis_tvalid, // wr_en
    output  logic                           m_axis_tready, // ~wfull
    
    output  logic [S_TDATA_WIDTH - 1 : 0]   s_axis_tdata,  
    output  logic                           s_axis_tvalid, // ~rempty
    input   logic                           s_axis_tready  // rd_en
);

位宽检查

为了防止用户定义的数据位宽不满足上文整数倍的要求,需要首先对数据位宽进行判断。

    localparam integer M_DIV = M_TDATA_WIDTH / S_TDATA_WIDTH;
    localparam integer S_DIV = S_TDATA_WIDTH / M_TDATA_WIDTH;
    localparam integer M_DIV_sub1 = M_DIV - 1;
    localparam integer S_DIV_sub1 = S_DIV - 1;

    integer err_flag = 0;

    initial begin : check_err
        err_flag = 0;

        if (M_TDATA_WIDTH == S_TDATA_WIDTH) begin
            $error("位宽相同,不需要转换");
            err_flag = 1;
        end

        if (M_DIV * S_TDATA_WIDTH == M_TDATA_WIDTH) ;
        else if (S_DIV * M_TDATA_WIDTH == S_TDATA_WIDTH) ;
        else begin
            $error("不是整数倍");
            err_flag = 1;
        end

        if (err_flag) begin
            $stop();
        end
    end // : check_err

位宽转换

根据计算出的M_DIV和S_DIV,若M_DIV<S_DIV得出是上游过来的数据向下游数据位宽对齐,若S_DIV>M_DIV则反之。以S_DIV>M_DIV的情况为例,利用位扩展方式,位宽转换过程如下:

    if (S_DIV != 0) begin
            localparam DEPTH_WIDTH = $clog2(S_DIV) + 1; // int($clog(3)) <= $clog2(3) == $clog2(4)
            logic [S_TDATA_WIDTH - 1 : 0] ram_tdata;
            logic [0 : 0] rd_cnt_r = 'd0;
            logic [DEPTH_WIDTH : 0] wr_cnt_r = 'd0;
            
            always_ff @(posedge clk) begin
                if (m_axis_tvalid & m_axis_tready) begin
                    if (wr_cnt_r[DEPTH_WIDTH - 1 : 0] == S_DIV_sub1) begin
                        wr_cnt_r[DEPTH_WIDTH - 1 : 0] <= 'd0;
                        wr_cnt_r[DEPTH_WIDTH] <= ~wr_cnt_r[DEPTH_WIDTH];
                    end else begin
                        wr_cnt_r[DEPTH_WIDTH - 1 : 0] <= wr_cnt_r[DEPTH_WIDTH - 1 : 0] + 'd1;
                    end
                end
            end

            always_ff @(posedge clk) begin
                if (s_axis_tready & s_axis_tvalid) begin
                    rd_cnt_r <= ~rd_cnt_r;
                end
            end

            always_ff @(posedge clk) begin
                if (m_axis_tvalid & m_axis_tready) begin
                    ram_tdata[wr_cnt_r[DEPTH_WIDTH - 1 : 0] * M_TDATA_WIDTH +: M_TDATA_WIDTH] <= m_axis_tdata;
                end
            end

            always_comb begin
                s_axis_tdata = ram_tdata;
            end

            assign m_axis_tready_s = (wr_cnt_r[DEPTH_WIDTH] == rd_cnt_r[0]);
            assign s_axis_tvalid_s = (wr_cnt_r[DEPTH_WIDTH] != rd_cnt_r[0]);
            
            assign s_axis_tvalid = s_axis_tvalid_s;
            assign m_axis_tready = m_axis_tready_s;
        
    end

仿真结果如下:

图片

M_DIV>S_DIV的情况与其类似,这里仅给出仿真结果。

图片

完整代码

本文所涉及的完整工程可于公众号回复AXIS_AWIDTH下载。

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
以下是一个简单的AXI4-Stream FIFO写数据的VHDL实现,可以用于仿真或实际硬件实现: ```vhdl library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity axi4_stream_fifo is generic ( DATA_WIDTH : integer := 32; -- 数据宽度 ADDR_WIDTH : integer := 6; -- 地址宽度 MAX_DEPTH : integer := 64 -- 最大深度 ); port ( -- AXI4-Stream接口 s_axis_tdata : in std_logic_vector(DATA_WIDTH-1 downto 0); s_axis_tvalid : in std_logic; s_axis_tready : out std_logic; -- AXI接口 s_axi_awaddr : in std_logic_vector(ADDR_WIDTH-1 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(DATA_WIDTH-1 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic ); end entity; architecture rtl of axi4_stream_fifo is -- FIFO深度计数器 signal count : integer range 0 to MAX_DEPTH-1 := 0; -- FIFO存储器 type fifo_mem_t is array (0 to MAX_DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal fifo_mem : fifo_mem_t := (others => (others => '0')); begin -- AXI4-Stream接口写数据 write_data: process (s_axis_tdata, s_axis_tvalid, s_axis_tready) is begin if (s_axis_tvalid = '1' and s_axis_tready = '1') then -- 数据写入FIFO fifo_mem(count) <= s_axis_tdata; count <= count + 1; end if; end process; -- AXI接口响应写请求 respond_write: process (s_axi_awaddr, s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wvalid, s_axi_wready) is begin if (s_axi_awvalid = '1' and s_axi_awready = '1' and s_axi_wvalid = '1' and s_axi_wready = '1') then -- 写入FIFO的地址为当前深度 s_axi_awaddr <= std_logic_vector(to_unsigned(count-1, ADDR_WIDTH)); -- 写入数据 fifo_mem(count-1) <= s_axi_wdata; -- 计数器加1 count <= count + 1; -- 响应写请求 s_axi_bresp <= "00"; s_axi_bvalid <= '1'; end if; end process; -- AXI接口读请求 read_request: process (s_axi_awaddr, s_axi_awvalid, s_axi_awready) is begin if (s_axi_awvalid = '1' and s_axi_awready = '1') then -- 读请求的地址为0 s_axi_awaddr <= (others => '0'); -- 响应读请求 s_axi_bresp <= "00"; s_axi_bvalid <= '1'; end if; end process; -- AXI接口读数据 read_data: process (s_axi_araddr, s_axi_arvalid, s_axi_arready) is begin if (s_axi_arvalid = '1' and s_axi_arready = '1') then -- 读取FIFO的第一个数据 s_axi_rdata <= fifo_mem(0); -- 读取后计数器减1 count <= count - 1; -- 响应读请求 s_axi_rvalid <= '1'; end if; end process; end architecture; ``` 请注意,这只是一个简单的实现,没有考虑流控制和错误处理。在实际应用中,您可能需要更多的功能和保护。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

wjh776a68

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值