数字电子技术基础入门(一)

本文是基于由阎石主编、高等教育出版社2016年出版的教材
《数字电子技术基础(第六版)》 的学习笔记

数制和码制

概述

在用不同数码表示不同事物或事物的不同状态时,这些数码已经不再具有表示数量大小的含义了,它们只是不同事物的代号而已.我们将这些数码称之为代码,没有数量大小的含义。

几种常用的数制

  1. 十进制(D)
  2. 二进制(B)
  3. 八进制(O)
  4. 十六进制(H)

反码、补码和补码运算

在做减法运算时,如果两个数是用原码表示的,则首先需要比较两数绝对值的大小,然后以绝对值大的一个作为被减数、绝对值小的一个作为减数,求出差值,并以绝对值大的一个数的符号作为差值的符号。不难看出,这个操作过程比较麻烦。

补码的一种理解方式:例如,你在5点钟的时候发现自己的手表停在10点上了,因而必须把表针拨回到5点。这时有两种拨法:第一种拨法是往回拨5格,10-5 = 5,拨回到了 5点;另一种拨法是往前拨7格,10+ 7= 17。由于表盘的最大数只有12,超过12以后的“进位”将自动消失,于是就只剩下减去12以后的余数了,即17-12 = 5,也将表针拨回到了 5 点。这个例子说明,1 0 - 5 的减法运算可以用1 0 + 7 的加法运算代替。因为5 和7 相加正好等于产生进位的模数12,所以我们称7为-5对模12的补数,也称为补码。从这个例子中可以得出一个结论,就是在舍弃进位的条件下,减去某个数可以用加上它的补码来代替。

补码在这里插入图片描述
反码在这里插入图片描述

几种常用的编码

在这里插入图片描述

  • 8421:恒权代码,对应四位的权重
  • 余3码:如果把每一个余3码看作4位二进制数,则它的数值要比它所表示的十进制数码多3,故而将这种代码称为余3码。如果将两个余3 码相加,所得的和将比十进制数和所对应的二进制数多6 。因此,在用余3 码做十进制加法运算时,若两数之和为1 0 , 正好等于二进制数的1 6 , 于是便从高位自动产生进位 信号。0 和9 、1 和8 、2 和7 、3 和6 、4 和5 的余3 码互为反码,这对于求取对10的补码是很方便的。
  • 2421 码:是一种恒权代码,它的0 和9 、1 和8 、2 和7 、3 和6 、4 和5 也互为反码,这个特点和余 3码相仿。
  • 5211 码:是另一种恒权代码。计数器的分频作用中,如果按8 4 2 1 码接成十进制计数器,则连续输入计数脉冲时,4 个触发器输出脉冲对于计数脉冲的分频比从低 位到高位依次为5 :2 :1 :1 。可见, 5 2 1 1 码每一位的权正好与8 4 2 1 码十进制计数器4 个触发器输出脉冲的分频比相对应。
  • 余3循环码(4位格雷码中的10个):是一种变权码,每一位的1在不同代码中并不代表固定的数值。它的主要特点是相邻的两个代码之间仅有一位的状态不同。

格雷码(Gray Code)又称循环码。每一位的状态变化都按一定的顺序循环。如果从 0000开始,最右边一位的状态 按0110顺序循环变化,右边第二位的状态按00111100顺序循环变化,右边第三位按 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 顺序循环变化。可见,自右向左,每一位状态循环中连续的0 、1 数目增加一 倍。由于4 位格雷码只有1 6 个,所以最左边一位的状态只有半个循环。格雷码的最大优点就在于相邻两个代码之间只有一位发生变化。这样在代码转换的过程中就不会产生过渡“噪声”。而在普通二进制代码的转换过程中,则有时会产生过渡噪声。例如,第四行的二进制代码 0 0 1 1 转换为第五行的0 1 0 0 过程中,如果最右边一位的变化比其他两位的变化慢,就会在一个极短的瞬间出现0101状态,这个状态将成为转换过程中出现的噪声。而在第四行的格雷码0010向第五行的0110转换过程中则不会出现过渡噪声。在这里插入图片描述

逻辑代数基础

概述

在数字逻辑电路中,用1位二进制数码的0和1表示一个事物的两种不同逻辑状态。这种只有两种对立逻辑状态的逻辑关系称为二值逻辑。
所谓“逻辑”,在这里是指事物间的因果关系。当两个二进制数码表示不同的逻辑状态时,它们之间可以按照指定的某种因果关系进行推理运算。我们将这种运算称为逻辑运算。布尔代数称为开关代数或逻辑代数。本章所讲的逻辑代数就是布尔代数在二值逻辑电路中的应用。下面我们将会看到,虽然有些逻辑代数的运算公式在形式上和普通代数的运算公式雷同,但是两者所包含的物理意义有本质的不同。逻辑代数中也用字母表示变量,这种变量称为逻辑变量。逻辑运算表示的是逻辑变量以及常量之间逻辑状态的推理运算,而不是数量之间的运算,虽然在二值逻辑中,每个变量的取值只有0和1两种可能,只能表示两种不同的逻辑状态,但是我们可以用多变量的不同状态组合表示事物的多种逻辑状态,处理任何复杂的逻辑问题。

三种基本运算

逻辑代数的基本运算有与(AND)、或(OR)、非(NOT)三种。以“ • ”表 示与运算,以“+”表示或运算,以变量右上角的“'”表示非运算
在这里插入图片描述
在这里插入图片描述
最常见的复合逻辑运算有与非(N A N D ) 、或非(N O R ) 、与或非(A N D - N O R ) 、异或(E X C L U ­ S I V E O R ) 、同或(E X C L U S I V E N O R ) 等
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
化为简单表示:

  • 异或
    在这里插入图片描述
  • 同或
    在这里插入图片描述
    两者互为反运算
    在这里插入图片描述
    其中 • 运算符号可以省略

逻辑代数的基本公式和常用公式

基本公式:
在这里插入图片描述
常用公式:
在这里插入图片描述

逻辑代数基本定理

代入定理

在任何一个包含变量, 4 的逻辑等式中,若以另外一个逻辑式代入式中所有4 的位置,则等式仍然成立。这就是所谓的代入定理。在对复杂的逻辑式进行运算时,仍需遵守与普通代数一样的运算优先顺序,即先算括号里的内容,其次算乘法,最后算加法。

反演定理

对于任意一个逻辑式Y,若将其中所有的“ • ”“ + ”互换,0 1互换, 原变量换成反变量,反变量换成原变量,则得到的结果就是Y‘。这个规律称为反演定理。仍需遵守“先括号、然后乘、最后加”的运算优先次序。不属于单个变量上的反号应保留不变。

对偶定理

若两逻辑式相等,则它们的对偶式也相等,这就是对偶定理。所谓对偶式是这样定义的:对于任意一个逻辑式Y,若将其中所有的“ • ”“ + ”互换,0 1互换,则得到一个新的逻辑式YD,这个就称为Y的对偶式,或者说Y和YD互为对偶式。在基本公式表中,其中的公式(1 ) 和(1 1 ) 、(2 ) 和( 1 2 ) . ( 3 ) 和(1 3 ) 、 ( 4 ) 和(1 4 ) 、(5 ) 和(1 5 ) 、(6 ) 和( 1 6 ) . ( 7 ) 和(1 7 ) 、(8 ) 和(1 8 ) 皆互为对偶式。

逻辑函数及其描述方法

逻辑函数

如果以逻辑变量作为输入,以运算结果作为输出, 那么当输入变量的取值确定之后,输出的取值便随之而定。因此,输出与输入之间乃是一种函数 关系。这种函数关系称为逻辑函数(logic function),写作Y=F(A,B,C,…),由于变量和输出(函数)的取值只有0和1两种状态,所以我们所讨论的都是二值逻辑函数。

逻辑函数的描述方法

常用的逻辑函数描述方法有逻辑真值表、逻辑函数式(简称逻辑式或函数式)、逻辑图、波形
图、长诺图和硬件描述语言等。

逻辑真值表

将输人变量所有的取值下对应的输出值找出来,列成表格,即可得到真值表。

逻辑函数式

将输出与输入之间的逻辑关系写成与、或、非等运算的组合式,即逻辑代数式,就得到了所需的逻辑函数式。

逻辑图

将逻辑函数式中各变量之间的与、或、非等逻辑关系用图形符号表示出来,就可以画出描述函数关系的逻辑图(logic diagram)。

波形图

如果将逻辑函数输入变量每一种可能出现的取值与对应的输出值按时间顺序依次排列起来,就得到了描述该逻辑函数的波形图。这种波形图(waveform)也称为吋序图(timing diagram )。 在逻辑分析仪和一些计算机仿真工具中,经常以这种波形图的形式给出分析结果。此外,也可以通过实验观察这些波形图,以检验实际逻辑电路的功能是否正确

各种描述方法间的相互转换
  • 真值表与逻辑表达式之间
    用一个例子表示:
    在这里插入图片描述
    由真值表可见,只有当三个输人变量中两个同吋为1或三个同为0时,Y才为1因此,在输人变量取值为以下四种情况时,Y将等于1:
    而当A = 0、B = 0、C = 0时,必然使乘积项A’B’C’ = 1;
    当A = 0、B=1、C=1时,必然使乘积项A’BC = 1;
    当A = l、B = 0、C=1时,必然使乘积项AB‘C=1;
    当A = 1、B = 1、C = 0时,必然使ABC’ = 1,
    因此Y 的逻辑函数应当等于这四个乘积项之和,即
    Y=A’B’C’+A’BC+AB’C+ABC’
    可以总结出由真值表写出逻辑函数式的一般方法,这就是:
    ① 找出真值表中使逻辑函数Y=1的那些输入变量取值的组合。
    ② 每组输入变量取值的组合对应一个乘积项,其中取值为1的写人原变量,取值为0的写入反变量。
    ③ 将这些乘积项相加,即得y的逻辑函数式。
    由逻辑式列出真值表就更简单了这时只需将输人变量取值的所有组合状态逐一代人逻辑式求出函数值,列成表,即可得到真值表。

  • 逻辑函数式与逻辑图之间
    从给定的逻辑函数式转换为相应的逻辑图时,只要用逻辑图形符号代替逻辑函数式中的逻辑运算符号并按运算优先顺序将它们连接起来,就可以得到所求的逻辑图了。
    而在从给定的逻辑图转换为对应的逻辑函数式时,只要从逻辑图的输人端到输出端逐级写出每个图形符号的输出逻辑式,就可以在输出端得到所求的逻辑函数式了。
    逻辑函数Y=(A+B’C’)+A’BC’+C对应的逻辑图为
    在这里插入图片描述
    逻辑图:
    在这里插入图片描述
    对应的逻辑函数式为:Y=((A+B)‘+(A’+B’)‘)’ = (A+B)(A’+B’) = AB’+A’B = A异或B

  • 波形图与真值表
    波形图:
    在这里插入图片描述
    对应真值表:
    在这里插入图片描述

逻辑函数的两种标准形式

“最小项之和”及“最大项之积”这两种标准形式

最小项与最大项

在n变量逻辑函数中,若m为包含n个因子的乘积项,而且这n个变量均以原变量或反变量的形式在m中出现一次,则称m为该组变量的最小项
在这里插入图片描述
从最小项的定义出发可以证明它具有如下的重要性质:
① 在输人变量的任何取值下必有一个最小项,而且仅有一个最小项的值为1
② 全体最小项之和为1
③ 任意两个最小项的乘积为0。
④ 具有相邻性(若两个最小项只有一个因子不同,则称这两个最小项具有相邻性)的两个最小项之和可以合并成一项并消去一对因子(如A’BC’+ABC’ = (A+A’)BC’ = BC’)

在n变量逻辑函数中,若M为a个变量之和,而且这n个变量均以原变量或反变量的形式在M中出现一次,则称M为该组变量的最大项
在这里插入图片描述
根据最大项的定义同样也可以得到它的主要性质,这就是:
① 在输入变量的任何取值下必有一个最大项,而且只有一个最大项的值为0。
② 全体最大项之积为0。
③ 任意两个最大项之和为1。
④只有一个变量不同的两个最大项的乘积等于各相同变量之和。

可以发现Mi = mi’

逻辑函数最小项之和形式

首先将给定的逻辑函数式化为若干乘积项之和的形式,亦称“积之和"(sum of products,简称 S O P ) 形式.然后,再利用基本公式A + A’ = 1 将每个乘积项中缺少的因子补全,这样就可以将与或的形式化为最小项之和的标准形式。
例如,给定逻辑函数为
Y=ABC’+BC = ABC‘+(A+A’)BC = ABC’+ABC+A’BC = m3+m6+m7

逻辑函数最大项之积形式

利用逻辑代数的基本公式和定理,首先我们一定能把任何一个逻辑函数式化成若干多项式相乘的或与形式(也称“和之积”形式)。然后再利用基本公式AA’ = 0 将每个多项式中缺少的变量补齐,就可以将函数式的或与形式化成最大项之积的形式了。
例如,给定逻辑函数为
Y=A’B+AC = (A’B+A)(A’B+C) = (A+B)(A’+C)(B+C) = (A+B+CC’)(A’+BB’+C)(AA’+B+C) = (A+B+C)(A+B+C’)(A’+B+C)(A’+B’+C) = M0M1M4*M6
其中化简用到了基本公式A+BC = (A+B)(A+C)

逻辑函数的化简方法

化简逻辑函数的目的就是要消去多余的乘积项和每个乘积项中多余的因子,以得到逻辑函数式的最简形式。常用的化简方法有公式化简法、卡诺图化简法以及适用于编制计算机辅助分析程序的Q-M法等。

公式化简法

公式化简法的原理就是反复使用逻辑代数的基本公式和常用公式消去函数式中多余的乘积 项和多余的因子,以求得函数式的最简形式。

  • 并项法:利用AB+AB’=A
    在这里插入图片描述
  • 吸收法:利用A+AB = A在这里插入图片描述
  • 消项法:利用AB+A’C+BC=AB+A’C. AB+A’C+BCD = AB+A’C 在这里插入图片描述
  • 消因子法:利用A+A’B=A+B在这里插入图片描述
  • 配项法:利用A+A=A在这里插入图片描述

卡诺图化简法

既然任何逻辑函数都可以展开为最小项之和的形式,那么采用合并最小项的方法化简逻辑函数,就应当是适用于任何逻辑函数的、通用的化简方法。下面介绍的卡诺图化简法就是一种基于合并最小项的化简方法。
在这里插入图片描述
围示的卡诺图上还可以看到,处在任何一行或一列两端的最小项也仅有一个变量不同,所以它们也具有逻辑相邻性。因此,从几何位置上应当将卡诺图看成是上下、左右闭合的图形。在变量数大于、等于以后,仅仅用几何图形在两维空间的相邻性来表示逻辑相邻性已经不够了。例如,在图示的五变量最小项的卡诺图中,除了几何位置相邻的最小项具有逻辑相邻性以外,以图中双竖线为轴左右对称位置上的两个最小项也具有逻辑相邻性。既然任何一个逻辑函数都能表示为若干最小项之和的形式,那么自然也就可以设法用卡诺图来表示任意一个逻辑函数,具休的方法是:首先将逻辑函数化为最小项之和的形式,然后在卡诺图上与这些最小项对应的位置上填入1 ,在其余的位置上填入0 , 就得到了表示该逻辑函数的卡诺图。也就是说,任何一个逻辑函数都等于它的卡诺图中填人1的那些最小项之和

例如:在这里插入图片描述
对应的卡诺图为:在这里插入图片描述
卡诺图化简法或图形化简法依据的基本原理就是具有相邻性的最小项可以合并,并消去不同的因子。由于在卡诺图上几何位置相邻与逻辑上的相邻性是一致的,因而从卡诺图上能直观地找出那些具有相邻性的最小项并将其合并化简

  • 合并最小项原则:若两个最小项相邻,则可合并为一项并消去一对因子。合并后的结果中只剩下公共因子(变动的都消掉,不变的留下,即公共因子留下)。

在这里插入图片描述
在这里插入图片描述
步骤:
(1) 将函数化为最小项之和的形式。
(2) 画出表示该逻辑函数的卡诺图。
(3) 找出可以合并的最小项。
(4) 选取化简后的乘积项选取的原则是:
① 这些乘积项应包含函数式中所有的最小项(应覆盖卡诺图中所有的1)。
② 所用的乘积项数目最少。也就是可合并的最小项组成的矩形组数目最少。
③ 每个乘积项包含的因子最少。也就是每个可合并的最小项矩形组中应包含尽量多的最小项。
按照不同的卡诺图方法可能得到的标准式不同:
在这里插入图片描述
Y = AC’+A’C+BC’+B’C
按照a方案合并最小项得到:Y=AB+A’C+BC’
按照b方案合并最小项得到:Y=AC’+B’C+A’B
在合并最小项的过程中允许重复使用函数式中的最小项,以利于得到更简单的化简结果。

在多变量逻辑函数的卡诺图中,当0 的数目远小于1 的数目时,采用合并0 的方法有吋会比 合并1来得简单,因为全部最小项之和为1,所以若将全部最小项之和分成两部分,一部分(卡诺图中填人1 的那些最小项)之和记作Y,则根据Y+Y’=1可知,其余一部分(卡诺图中填入0的那些最小项)之和必为Y’。

奎恩-麦克拉斯基化简法(Q-M法)

由奎恩(W . V . Q u i n e ) 和麦克拉斯基(E . J . M c C l u s k e y ) 提出的用列表方式进行化简的方法则有一定的规则和步骤可循,较好地克服了公式化简法和卡诺图化简法在这方面的局限性,因而适用于编制计算机辅助化简程序
例子:
在这里插入图片描述
(1)列出最小项编码表
在这里插入图片描述
在这里插入图片描述
(2)按包含1的个数分组
在这里插入图片描述
(3)合并相邻最小项:将表中最左边一列里每一组的每一个最小项与相邻组里所有的最小项逐一比较,若仅有一个因子不同,则定可合并,并消去不同的因子。消去的因子用“一”号表示,将合并后的结果列于表的第二列中。同时,在第一列中可以合并的最小项右边标以“V”号。按照同样的方法再将第二列中的乘积项合并,合并后的结果写在第三列中。 如此进行下去,直到不能再合并为止。
(4)选择最少乘积项
在这里插入图片描述
上式并不一定是最简的与或表达式。为了进一步将式化简,将Pi 各包含的最小项列成下表。因为表中带圆圈的最小项仅包含在一个乘积项中,所以化简结果中一定包含它们所在的这些乘积项,即P1、P2、P3、P7、P8,选取了这五项之和以后,已包含了除爪m14和m15以外所有Y的最小项。
在这里插入图片描述
剩下的问题就是要确定化简结果中是否应包含P4 .P5 和P6了。为此,可将表中有关P4、P5、P6的部分简化成下表的形式。
在这里插入图片描述
由表中可以看到,P4行所有的1和P6行所有的1皆与P5中的1重叠,亦即P5中的最小项包含了 P4和P6的所有最小项,故可将P4和P6两行删掉,从而得到最后的化简结果
在这里插入图片描述
虽然Q - M 法的化简过程看起来比较繁琐,但由于它有确定的流程,适用于任何复杂逻辑函数的化简,这就为编制计算机辅助化简程序提供了方便。因此,几乎很少有人用手工方法使用Q - M法去化简复杂的逻辑函数,而是使用基于 Q - M 法的基本原理去编制各种计算机软件,然后在计算机上完成逻辑函数的化简工作。

具有无关项的逻辑函数及其化简

约束项、任意项和逻辑函数式中的无关项

在处理具体的逻辑问题时,有时会遇到两种特殊情况。其中一种情况是输入变量的取值不是任意的。对输人变量取值的限制称为约束。我们把具有这种特点的逻辑函数称为具有约束的逻辑函数,同时把这一组输人变量称为具有约束的一组逻辑变量
例子:水箱中设置了 3个水位检测元件A、B、C。水位低于检测元件时,检测元件给出低电平0;水位高于检测元件时,检测元件给出高电平1。
在这里插入图片描述
现以YL和YS 分别表示ML , 和MS 的启动控制信号,取值为1 时水泵启动,取值0时水泵停止。根据要求,当水位超过C点时(ABC为111)水泵停止工作,YS = 0、YL =0;水位低于c 点而高于B点时(ABC为110),小水泵MS单独工作,YS = 1;水位低于B点而高于A点时 (ABC为100),大水泵ML单独工作YL =1;水位低于A点吋(ABC为000),MS和 ML 同时工作,YS = 1 、YL = 1 因此,MS 、 和ML 的启动控制信号YS 和YL 是A 、B 、C这三个逻辑变量的逻辑函数,并可写成
YL = A’B’C’+AB’C’=B’C’
YS=A’B’C’+ABC’
ABC的取值不可能出现0 0 1 、0 1 0 、0 1 1 、1 0 1 这四种情况。由此可见,A 、B 、C 是一组具有约束的逻辑变量,YS和YL是两个具有约束的逻辑函数。

更简单的描述方式:
由于每一组输入变量的取值都使一个、而且仅有一个最小项的值为1 ,所以当限制某些输人变量的取值不能出现时,可以用它们对应的最小项恒等于0 来表示。这样,上面例子中的约束条件可以表示为
在这里插入图片描述
或:A’B’C+A’BC’+A’BC+AB’C = 0
同时,将这些恒等于0的最小项称为函数YS和YL的约束项。在存在约束项的情况下,由于约束项的值始终等于0,所以既可以将约束项写进逻辑函数式中,也可以将约束项从函数式中删掉,而不影响函数值。有时还会遇到另外一种情况,就是在输人变量的某些取值下函数值是1 还是0 皆可,并不影响电路的功能。在这些变量取值下,其值等于1的那些最小项称为任意项

为了进一步说明任意项的物理概念,让我们来看一个电动机控制的例子:
现以三个逻辑变量A、B、C分别表示一台电动机的正转、反转和停止的命令, A = 1 表示正转,B = 1 表示反转,C = 1 表示停止。表示正转、反转和停止工作状态的逻辑函数可写成
Y1 = AB’C’(正转)
Y2 = A’BC’(反转)
Y3 = A’B’C(停止)
因为任何时候电动机只能执行其中的一种命令,所以A、B、C 中出现两个以上为1时,电动机将无法工作。为此,将实际的电路设计成当三个控制变量出现两个以上同时为1或者全部为0时电路能自动切断供电电源.那么这时Y1、Y2和Y3等于1还是等于0已无关紧要, 电动机肯定会受到保护而停止运行。例如,当A = B = C=1时,对应的最小项ABC(m7)= 1 如果把最小项 ABC 写入Y1式中,则当A = B = C = 1时Y1 = 1;如果没有把这一项写人Y1式中,则当A=B=C=1时Y1 = 0因为这时Y1 = 1还是Y1 = 0都是允许的,所以既可以把ABC这个最小项写人Y1式中,也可以不写入。 因此,我们把ABC称为逻辑函数Y1的任意项。同理,在这个例子中A’B’C’、A’BC、ABC’也是Y1
Y2、Y3的任意项 这种存在任意项的逻辑函数也叫做不完全定义的逻辑函数因为使约束项的取值等于1的输入变量取值是不允许出现的,所以约束项的值始终为0 而任意项则不同,在函数的运行过程中,有可能出现使任意项取值为1的输入变量取值。我们将约束项和任意项统称为逻辑函数式中的无关项这里所说的“无关”是指是否把这些最小项写人逻辑函数式无关紧要,可以写人也可以删除。
在用卡诺图表示逻辑函数时,首先将函数化为最小项之和的形式,然后在卡诺图中这些最小项对应的位置上填入1,其他位置上填入0既然可以认为无关项包含于函数式中,也可以认为不包含在函数式中,那么在卡诺图中对应的位置上就可以填入1 ,也可以填入0为此,在卡诺图中用x表示无关项。在化简逻辑函数时既可以认为它是1,也可以认为它是0。

无关项在化简逻辑函数中的应用

加人的无关项应与函数式中尽可能多的最小项(包括原有的最小项和已写入的无关项)具有逻辑相邻性。直接去找加入哪些无关项可以化简不够直观,可以使用卡诺图法就可以直观的看出加入哪些无关项。
例子:在这里插入图片描述
卡诺图为:在这里插入图片描述
得:Y=BD’+AD’+CD’

多输出逻辑函数的化简

在化简多输出逻辑函数的过程中,我们发现,如果不是孤立地分别对每一个输出函数进行化简,而是从整体上综合考虑进行化简,有时会获得更加简单的化简结果,使得所用门电路的数目和所有门电路总的输人端数目均为最少。
例子:在这里插入图片描述
如果卡诺图这样化:在这里插入图片描述
得到的逻辑电路图为:
在这里插入图片描述
如果寻找卡诺图中的共用项(共三个,按圈线类型画出):
在这里插入图片描述
这样得到的逻辑电路图为:
在这里插入图片描述
然而在实际应用中我们发现,并不是任何情况下,利用共用项都能够得到更简单的化简结果。对于两级与或形式的多输出逻辑函数,可以利用Q - M 化简法进行化简,找出可以利用的共用项,并利用这些共用项得到更简单的化简结果。

逻辑函数形式的变换

在使用标准化的数字集成电路组成所需要的逻辑电路吋,不仅受到所提供的门电路类型的限制,而且由于很难找到具有4个以上输入端的与门和或门,因而当与或逻辑函数式的输入变量数和乘积项数很大时,就无法用一个两级的与或电路实现这个逻辑函数,比如只有两个输入端的门,这样三项乘积就没办法直接实现了。
如果用与非门可以利用摩根定理进行转换:
例子:在这里插入图片描述
这样就可以得到这样的逻辑电路:在这里插入图片描述
如果有异或门和与门的话可以这样转化:在这里插入图片描述
这样就可以得到这样的逻辑电路:在这里插入图片描述
目前用于数字集成电路设计和PLD开发的EDA软件中,一般都具备逻辑函数化简和变换的功能。在使用这些EDA软件进行设计时,逻辑函数的化简和变换工作都是由计算机完成的。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值