FPGA | Verilog仿真VHDL文件

当VHDL模块中有Generic块时,应该怎么例化?

VHDL模块代码

entity GenericExample is
    generic (
        DATA_WIDTH : positive := 8;  -- 泛型参数:数据宽度
        ENABLE_FEATURE : boolean := true  -- 泛型参数:是否启用特定功能
    );
    Port ( 
        clk : in STD_LOGIC;
        reset : in STD_LOGIC;
        data_in : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
        data_out : out STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)
    );
end GenericExample;

Verilog仿真代码

// 实例化泛型实体
GenericExample 
    #(
        .DATA_WIDTH     (8          ),    // 设置数据宽度为8     
        .ENABLE_FEATURE (1          )     // 启用特定功能            
    )                                                                
    UUT(                                                             
        .clk            (clk        ),                               
        .reset          (reset      ),                               
        .data_in        (data_in    ),                               
        .data_out       (data_out   )                                
    );
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Ruoyo176

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值