FPGA自学笔记(二)仿真文件tb

FPGA自学笔记(二)仿真文件tb


一、创建文件

创建 simulation sources ,命名为 tb_模块名。

二、代码

1、定义reg,wire

因为要测试一个模块,所以该模块的input应该在测试文件中被赋值,只有reg类型可以被赋值。该模块的output应该在测试文件中被作为连线连接到下一个模块,或者作为下一个模块的输入,所以一能改被定义为wire类型。

代码如下(被测试模块)

module led_twinkle(
    input          sys_clk  ,  //系统时钟
    input          sys_rst_n,  //系统复位,低电平有效

    output  [1:0]  led         //LED灯
);

代码如下(tb文件)

module tb_led_twinkle();

reg    			  sys_clk;
reg     		  sys_rst_n;

wire   	 [1:0]    led;

2、赋初值

代码如下(示例):

initial begin
    sys_clk = 1'b0;
    sys_rst_n = 1'b0; 		//复位信号为0有效
    #200
    sys_rst_n = 1'b1;		//200ns后复位信号变1
end

3、翻转时钟

always #10 sys_clk = ~sys_clk;

4、例化被测试模块

例化名=u_被测试模块名。如果是例化IP核,可以去 *.veo文件中copy。

Ctrl选中多行可以多行操作。

led_twinkle  u_led_twinkle(
    .sys_clk      (sys_clk),
    .sys_rst_n    (sys_rst_n),
    .led          (led)
);

三、开始仿真

在这里插入图片描述


添加仿真信号

在这里插入图片描述


按钮含义

在这里插入图片描述


重新仿真

仿真过程中想要更改 .v 文件,直接改然后保存,点一下重新仿真即可。
在这里插入图片描述

  • 10
    点赞
  • 54
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA仿真测试文件是用于对使用的硬件描述语言设计的电路进行仿真验证的文件。在测试文件中,需要对被测试模块的输入进行赋值,并将被测试模块的输出作为连线连接到下一个模块或作为下一个模块的输入。一般情况下,被测试模块的输入使用reg类型进行赋值,而输出使用wire类型进行定义。在测试文件中,还可以定义时钟信号和复位信号的固定法,以及其他信号的赋值方式。例如,时钟信号可以使用parameter定义周期,然后使用initial块来生成时钟信号;复位信号可以使用parameter定义复位时间,然后使用initial块来生成复位信号;其他信号可以使用initial块来赋值,可以使用$random函数来生成随机值。 #### 引用[.reference_title] - *1* [FPGA基础入门篇(一) Test bench 仿真文件方法](https://blog.csdn.net/vivid117/article/details/90247582)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [FPGA自学笔记仿真文件tb](https://blog.csdn.net/weixin_42365433/article/details/125355033)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [FPGA-测试文件的编](https://blog.csdn.net/weixin_41445387/article/details/88256311)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值