LED灯闪烁实验

实验任务:
本节实验任务是使领航者底板上的PLLED0和PLLED1以固定的频率交替闪烁。

模块示意图:

 

代码实现:

moduleled_twinkle(
inputsys_clk,//系统时钟
inputsys_rst_n,//系统复位,低电平有效

//reg define
reg[25:0]cnt;
//*****************************************************
//**                    main code
//*****************************************************14
//对计数器的值进行判断,以输出LED的状态
assignled=(cnt<26'd2500_0000)?2'b01:2'b10;
//计数器在0~5000_000之间进行计数
always@(posedgesys_clkornegedgesys_rst_n)begin
if(!sys_rst_n)
        cnt<=26'd0;
elseif(cnt<26'd5000_0000)
        cnt<=cnt+1'b1;
else
        cnt<=26'd0;
end
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值