第十一课:逻辑综合基本原理及设计输入

主要内容

综合,就是把idea变成现实的过程;

逻辑综合和功能仿真/形式验证可以是同步进行的;

Synthesis Flow

顶层综合的flow,主要分为五大步骤;

①首先导入库文件和design设计文档/RTL coding;

②第二步是加入一些时序约束及设计规则约束;

③利用综合工具跑综合,通过改一些不同的参数,得到不同的结果;这一步将占用EDA工具95%时间;

④对得到的结果进行横向和纵向进行分析,如在同一个结果中分析时序差的原因,或将不同参数得到的结果进行横向对比;这一步占用人的时间最多;

⑤将符合要求的综合结果输出netlist;

在整个后端flow中,一般的工作方向有两类,一是flow开发,编写所需要的各种flow脚本;二是进行综合结果分析的工作,就是利用开发的flow,通过调节不同参数,分析得到的不同结果及debug;

Synthesis

Synthesis=Translation+Gate Mapping+Logic Optimization=转换+门级映射+逻辑优化;

当我们告诉综合工具要做综合了,工具就是做了上述三个事情,先把RTL coding 和约束进行转换,然后再进行门级映射gate mapping,最后再做逻辑优化;

门级网表:前面第一课就说过,门级网表也是代码,不过不是像verilog coding那种,而是工具自动得到的门级电路图,如上图右侧所示,里面包含了各种门和连线;

Translation

当我们把coding读到工具里面时,工具会立刻转换并得到一个GTECH网表(gtech),这是一个过渡用的中间网表,这个网表里面的这些门是EDA软件得到的,并不是真实存在的,所以那些门的延时之类的数据是不存在的

Gate Mapping

Gate Mapping门级映射,建立理解就是替换,前面不是得到了GTECH网表嘛,里面的门都是EDA工具得到的,在此处,就是确定了代工厂后,将GTECH中的各个门换成相应代工厂内的标准门,这个简单替换的过程叫映射;

Logic Optimization

完成映射过程后,工具就开始进行逻辑优化,更换不同面积的门等,检查是否满足时序、面积、DRC等约束的要求;

Tsetup=max delay(规定延时不能大于多少),Thold=Tmin delay(延时不能小于多少);在逻辑综合阶段只考虑Tsetup,不考虑Thold(CTS之后),原因第六课有解释;

前面第六课也提到过,在代工厂的标准cell中,同一种门会有不同的选择,有的延时低但面积大,有的延时高但面积小,后端的总体思路就是:在满足时序约束的前提下,用最小的面积;

另外,代工厂还会提供一些复杂门,一个复杂门可以代替多个简单门,且有更好的时序和更优的面积,那么问题来了,能否大量使用复杂门呢?

答:不能,因为复杂门的连线更多更集中,当某区域大量存在复杂门时,后面进行金属连线时,就会导致连线过密集,称为连线拥塞;所以有些时候宁可用简单门也不用复杂门;

DRC:Design Rule Check;一般来说,代工厂的DRC指的是线宽/间距等检查,但此处指的是逻辑DRC,即上述的max fanout/capacitance/transition等,工艺厂商会规定一个cell的最大输入转换时间,最大负载电容(与带载能力相关),最大扇出等(扇出的物理参数理解就是负载电容,只不过扇出针对的是输出端,而负载电容应该还包含输入端管脚的负载电容;/例:一个与门后面带两个与门,那么fanout就是2,这两个与门产生的电容值就是负载电容,因此fanout越大,负载电容肯定越大,但负载电容的容值肯定更精确,故一般用负载电容来约束负载能力),所有的这些值,最终都与cell的延时相关;

前面第六课提过,cell延时正比于输入转换时间和负载电容代工厂会给出一个包含这两个参数的二维查找表,以供查找;超过这个表规定部分的延时就是工具利用线性插值得到的值,那么最终得到的延时信息肯定是不准的,超出表格延时不准就不是工艺厂商的责任了; 所以DRC约束的目的就是为了能够根据二维查找表精确计算cell延时;当cell驱动能力不够时,就加驱动能力大的buffer,降低fanout等;

例:见下图

此处,index1/2就分别代表了输入转换时间和负载电容,具体哪个对哪个要看另外的说明;若此时,index1取0.006,不在上面列出来的数中,那也没关系,只要在0-0.12768之间,工具通过线性插值得到的延时信息是可靠的;但一旦超过了上述范围,那么工具插出来的值就不准了;

DC三种操作方式

①design_vision,直接打开gui界面进行操作,适合新手;

②dc_shell,命令行模式;

③Batch mode:批处理模式,先把批处理命令写好,然后运行;

注:topographical_mode是高级模式,不是纯逻辑综合,而是在进行综合时会将物理实现步骤(见图3 physical implements)的一些内容考虑进去,最后得到的综合结果与做完PR的结果更加匹配;当然使用时也需要提供给综合工具一些物理实现相关信息;可以简写为-topo;

”tee”:常说”tee一下”,即把前面tcl脚本运行的结果存到DC.log文件里,”-i”表示把结果输出到文件内的同时,也在窗口显示出来,直观;如果不加的话,就只会输出到文件,不会直接显示出来;

简述:

①”\newline”=space;

②当要注释某行时,tcl中是使用”#”,而不是"//";

③在某行中进行注释时,由于tcl的command没有截止符号,所以需要在#前面加个”;”

④运行脚本前,先source加载到内存中来;

一些dc和linux通用的命令:pwd/ls/cd/!之类的;

注意最后一条,当在dc中得到一个rpt后,如DC.rpt,这时候你不想退出dc,但又想把该rpt打开,就可以:”sh gvim DC.rpt”,在前面加个”sh”命令就可以调用任何linux命令了;但在一般操作中,如果该文件比较大占内存,容易导致dc闪退,所以小文件无所谓,大文件还是另外打开吧。

Design and Technology Data

DC运行及导入RTL

①首先cd进入risc_design文件夹,内含三个文件夹,cons/为约束文件夹,rtl/为rtl coding,libs/为工艺厂商提供的一些库及相关文件;

②打开dc_shell,这里选的是topo模式;所以接下来的第三步他会要设置物理实现的相关文件;

③利用”read”命令,把rtl coding读到dc工具中来;

④可以看到,首先工具先加载了自带的”.db”库文件,然后就会得到gtech.db未映射的中间级网表;

⑤随后的warning是因为没有设置相应的link_library文件,先不管;

⑥”“unmapped ddc”就是gtech文件,".ddc"格式是把所有的相关文件先打包到一起;

导入约束并综合

①加载完rtl coding后,就需要加载约束文件了,约束文件通常写成一个脚本,需要用"source"命令加载到内存中来;

②此处的”check_timing”不是检查时序,而是检查每条路径是否都加了时序约束,检查时序约束完整性;

③“compile_ultra"就是执行剩下的两步综合命令:映射+逻辑优化;下面有报”Error”,提示没有相关的目标工艺库文件,这里的目标库文件就是工艺厂商提供的工艺库文件了,因为映射的过程就是把EDA自己的各种门换成工艺厂商标准门的过程,没有工艺库文件就无法替换;

Target_library

如图标注,这是lib文件的一些内容,其中.db格式的是打不开的,但可以转换为.lib格式再用gvim打开;通过cell名称”mh_sbufx2“可以大致看出,这是一个buffer cell,x2表示的是驱动能力;

前面说了,在进行gate_mapping时,如果没有指定目标工艺库文件的话,DC会报error,因为DC默认的target_library=your_library.db,而your_library.db这个文件默认是不存在的,所以会报错;

因此,我们需要人为的设置target_library指定的文件,用set_app_var命令,其实这个命令和set命令的功能是一样的,但是set_app_var一般针对的是DC软件自带的固有变量,即target_library是DC的固有变量,此时用set_app_var的话,系统会检查后面的变量是否有误,这样可以保证人没有写错单词而引起软件报错;把工艺库文件的”路径/文件名”给到target_library变量,就算设置好了目标工艺库文件了;记住,目标库只有一个,而且必须是标准单元库,不包括其他一些IP库;

得到Gate_Netlist

综合之前,先设置目标工艺库文件;然后运行综合,最后,利用”write“命令把得到的网表文件保存下来,为了区别rtl coding的.v格式文件,此处的网表文件我们一般设置为”.gv”;最后退出;

门级网表分析步骤

得到网表后,要对网表进行分析,故首先用read把网表读进来,然后设置目标库,加载时序约束,”report_constraint -all_violators“把所有违规的地方报告出来;但后面又报警告了,说是没有设置link_library,下面就来讲一下什么是链接库及怎么设置;

Link_library

link_library:链接库,当把门级网表读到DC里面去了以后,因为门级网表里面的各种门已经是映射后的工艺标准门了,上面的一些门名称都是按工艺厂商库文件定义的,这时候DC工具就无法识别了,因此,链接库就是起到一个链接作用,DC工具会查链接库去对比,找到相应的门在DC工具中的命名,可以简单理解为gate mapping的反过程;所以一般在设置目标库的时候,也一起把链接库设置了,格式如上;

为什么此处设置链接库的时候,不是直接用工艺库的文件名,而是用变量替换呢?为了方便以后改工艺库文件,要改的话只需要改一个地方就好了;

完整的导入门级网表分析流程;

search_path设置

如上,上面在导入目标库/链接库/约束/rtl coding等文件时,通常前面会带一个文件路径,这样万一以后文件路径有改变,那么修改起来很麻烦,故引出search_path命令;

search_path:DC固有变量,如上图,search_path命令有默认的一些路径,一般是安装路径,我们用的时候不用修改他的默认值,而是在后面加上一些值,这也是为什么search_path前面有个变量替换的原因;

我们可以把一些常用的文件路径列成一个list,跟在search_path后面,如上的格式,这样在写命令时,文件前面就不用带路径了,DC会自己去按list里面列出来的路径挨个去找对应的文件;

隐藏文件设置-.synopsys_dc.setup

三个隐藏文件是DC自动生成的,前面两个我们一般不用管,最后一个我们需要修改一些内容;

打开第三个文件后,我们把这三个固有变量修改一下,symbol_lib一般不设置;

修改成上述的;除了那三个固有变量外,还可以定义一些缩写,因为那几个命令是经常用的,每次打都要打一串,可以定义一些缩写;

alias:缩写/别名;

一个简单的问题:当我们要更换新的工艺库时,可以怎么做?

①全部重新来一遍当然是可以的;

②还可以用图二的方法,这时候目标库和链接库就不一样了,因为加载老的网表时用的反映射流程需要对照老的网表,即链接库为老库;然后导入新的目标库跑一边综合就可以啦;

完整命令流程总结

上面就是整个运行dc跑综合的命令啦,里面的每条命令背后对应的关系都分析过啦~

几个常犯错误

错误:dc启动目录错误,导致dc在当前启动目录下找不到search_path中设置的目录list,从而导致文件加载失败;

层次化设计

当一个芯片中含多个模块时,通常采用层次化设计,有一个顶层模块TOP.v和其他模块;

注意,此处的MY_TOP不是文件名,而是模块名,该模块里面可以包换其他的模块;

当我们把这些coding导入dc时,有以上三种方式:

①依次读取每个模块,读取三次;这样的话,dc会默认最后一次读取的为当前要跑综合的模块;

②把三个模块当作一个grouping导入,那么dc会认为第一个是当前的模块;

③把三个模块整合到一个.v文件内,那么dc会认为该文件中的第一部分为当前模块;

因此,我们在运行dc前,可以先把当前模块MY_TOP定义了,然后只要这里面包含了什么模块,dc就会跑对应模块的代码综合;

读rtl coding的另一种方式

前面是用read+current_design来读取coding的,现在可以用analysis+elaborate命令达到相同的效果;二者的不同在于:

①后者可看为把read命令分成了两步来实现,第一步是会分别检查MY_TOP包含的各个不同模块是否存在存在连接error,有的话就会报错,不需要像read命令把所有代码都导入后才检查;

②后者的elaborate命令是唯一可以在读取coding时更改代码参数的命令,而不需要去重新修改rtl coding;很关键!这样就实现了我们前面说的:通过调控一些不同的参数得到不同的网表在横向对比;

其他IP库的处理

对于芯片中包含的一些其他IP,也会有库文件,但dc是不需要在对这些IP库进行综合的了,简单来说,这些IP模块已经是设计布置好的了,我们只是拿来用,无需dc综合优化,直接当成一个大的cell就行了,因此这些IP的库文件只需要放在link_lib中,用来进行反映射过程使;一些其他的各种奇怪库文件,都是放在链接库中,目标库只有唯一一个,那就是标准工艺库;

.ddc文件的读取与保存

.ddc文件相当于是一个打包文件,举个例子,这里面的A.v & B.v是别人写好的而且已经综合优化好了,打包成ddc文件发给你,那么你用时就需要把ddc读进来;这种情况比较少见;

ddc文件的保存,和verilog一样,只不过格式那里写的是ddc,在综合前后都可以保存ddc文件;保存ddc文件是很用必要的,当我们综合完退出后,突然想再看一下某个参数时,这时候只需要再次read .ddc文件进到dc,就会把相关的设计网表/约束等全部加载进来,而不需要再重新跑一遍综合;

  • 6
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
电子技术综合设计(1) Document serial number【UU89WT-UU98YT-UU8CB-UUUT-UUT108】 Document serial number【UU89WT-UU98YT-UU8CB-UUUT-UUT108】 电子技术综合设计(1)全文共16页,当前为第1页。电子技术综合设计 电子技术综合设计(1)全文共16页,当前为第1页。 1、数字逻辑信号测试系统(测试高、低电平)设计 设计说明:设计一个逻辑信号高低电平测试装置。被测信号电压范围1~12V。 设计要求: 测试范围:低于为低电平,高于为高电平; 高、低电平分别用1200Hz和750Hz的音响表示; 信号在~之间不发声。 2、数字频率计设计 设计说明:数字频率计用于测量正弦信号、矩形信号等波形的频率,其概念是单位时间里的脉冲个数,如果用一个定时时间T控制一个闸门电路,时间T内闸门打开,让被测信号通过而进入计数译码,可得到被测信号的频率,若T=1秒,则fx=N。 计数器锁存器译码器时钟电路10进制分频器显示器整形正弦波矩形波自检控制电路闸门1s数字频率计原理框图 计数器 锁存器 译码器 时钟电路 10进制分频器 显示器 整形 正弦波 矩形波 自检 控制电路 闸门 1s 数字频率计原理框图 设计要求: (1)基本部分 电子技术综合设计(1)全文共16页,当前为第2页。被测信号的频率范围为1Hz~999KHz,分成三个频段,即1Hz~999Hz,1~100KHz。100KHz~999KHz。 电子技术综合设计(1)全文共16页,当前为第2页。 具有自检功能,即用仪器内部的标准脉冲校准测量精度。 用3为数码管显示测量数据,测量误差小于10%。 (2)发挥部分 用发光二极管表示单位,当绿灯亮时表示Hz,红灯亮时表示KHz。 具有超量程报警功能,在超出当前量程挡的测量范围时,发出灯光和音响信号。 测量误差小于5%。 量程自动转换功能。 电子技术综合设计(1)全文共16页,当前为第3页。 电子技术综合设计(1)全文共16页,当前为第3页。 3、自动节能灯的设计 设计说明:采用红外传感器接收人体位置信号,由控制电路实现夜间人到灯亮、人走灯灭功能。 设计要求: 红外传感器接收信号距离不低于20m; 设计延时电路,人走出20m距离1分钟后,自动熄灭路灯 4、视力保护仪电路设计 设计说明:设备采用选用高亮度绿色发光管LED作为光源,设计电路使其可按一定规律发光,当用眼疲劳时眼睛随着发光管的亮灭不停地转换,可达到消除视力疲劳,预防近视的目的。 设计要求: 设计电路电源; 实现三个档位的发光管的亮灭频率:30Hz、20Hz、10Hz; 实现多个LED循环点亮. 5、汽车尾灯控制器的设计 设计说明:根据实际应用设计汽车尾灯控制器 设计要求: 系统共设6盏灯; 正常行驶灯全灭; 电子技术综合设计(1)全文共16页,当前为第4页。按左转键只有左面3盏依次点亮,每盏亮1s,每周期3s,右转同样要求; 电子技术综合设计(1)全文共16页,当前为第4页。 踩下刹车则6只同时闪亮,频率为1Hz。 6、音频放大器设计 设计说明:能够对音频信号进行前置放大和功率放大 设计要求: 采集音频信号; 额定功率P0Ω 1W; 输入阻抗Ri>20kΩ,负载阻抗RL=8Ω,响应频率10Hz~40kHz; 音调特性在1 kHz处增益为0dB、100 Hz和10 kHz出具有±12dB的调节范围。 7、多功能数字钟 设计说明:设计并制作一个24小时制多功能数字钟,并带有闹钟功能。 设计要求: (1)基本要求 具有时间设置(小时和分钟)、闹钟设置、闹钟开、闹钟关功能; 数字显示小时、分钟,有AM和PM指示灯,闹钟就绪灯,蜂鸣器; 可定时开启或关闭用电器的电源。 按键切换现场环境温度显示(0 ~ 60 ±1 ); 非接触止闹功能; 具有断电数据保持功能。 电子技术综合设计(1)全文共16页,当前为第5页。8、多路温度检测系统的设计 电子技术综合设计(1)全文共16页,当前为第5页。 设计说明:在对温度要求较高的地方(比如粮库)需要对测试仓库的各个地方的温度进行监控,超过存储温度则需要报警,提醒管理人员打开换气设备。 设计要求: 以电位器输出的电压信号作为温度传感器的输出,输出电压0~5V时对应温度0~50?C; 实现循环检测8路温度的检测电路,检测温度由LED显示; 温度测量精度±2 ; 显示器分两段,第一段显示测温点号;第二段2位十进制数,显示对应点的测量温度; 所连接的测温点中只要有任何一个测量点的温度达到高温值时应给出报警信号,当所有点的温度值降低到安全值后,停止报警。 9、1/100秒计时器 设计说明:设计一个精度为1/100秒的电子秒表。设计核心部分可用震荡器+分频器实现。 设计要求: 计
修数字逻辑与数字电路程时的一些实验报告 《数字逻辑与数字电路》实验指导 实验1.Verilog HDL输入方式组合电路的设计 多路选择器和三人表决电路的设计 (1) 实验目的:进一步熟悉Quartus II的Verilog HDL文本设计流程,组合电路的设计仿真和硬件测试。 (2) 实验内容1、多路选择器的设计: 根据教材5.1节的流程,利用Quartus II完成2选1多路选择器的文本编辑输入(MUX21.v)和仿真测试等步骤,给出仿真波形。 在实验系统上硬件测试,验证此设计的功能。对于引脚锁定以及硬件下载测试,a和b分别接来自不同的时钟;输出信号接蜂鸣器。最后进行编译、下载和硬件测试实验(通过选择键1,控制s,可使蜂鸣器输出不同音调)。 (4) 实验内容2、三人表决电路的设计: 根据教材5.1节的流程,利用Quartus II完成三人表决电路的文本编辑输入(图5-36)和仿真测试等步骤,给出仿真波形。 在实验系统上硬件测试,验证此设计的功能。对于引脚锁定以及硬件下载测试,ABC[2..0]分别接自键3、键2、键1;CLK接自时钟CLOCK0(256Hz),输出信号X接D1,输出信号Y接蜂鸣器。最后进行编译、下载和硬件测试实验(通过按下键3、键2、键1,控制D1的亮灭)。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导件。 实验2.原理图输入方式全加器设计 (1) 实验目的:熟悉利用Quartus II的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握文本和原理图输入方式设计的详细流程。 (2) 实验原理:一个8位全加器可以由8个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。 (3) 实验内容1:按照教材4.6节完成半加器和1位全加器的设计,包括用文本或原理图输入,编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设置成一个元件符号入库。 (4) 实验内容2:建立一个更高层次的原理图或文本设计,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真和硬件测试。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导件。 实验3.7段数码显示译码器设计 (1) 实验目的:进一步熟悉Quartus II的Verilog HDL文本设计流程,组合电路的设计仿真和硬件测试。熟悉利用Quartus II的原理图输入方法设计简单组合电路,掌握层次化设计的方法,把握文本和原理图输入方式设计的详细流程。 (2) 实验原理:7段数码显示译码器设计采用case语句对数码管的七个段分别进行赋值0或1,实现数字的显示;使用if-else语句设计模16计数器。 (3) 实验内容1:使用VerilogHDL语言设计一个7段数码显示译码器并进行仿真及下载。 (4) 实验内容2:使用VerilogHDL语言设计一个模16计数器,含计数使能端(en)与异步清零端(clr),当en为高电平时开始计数,为低电平时停止计数,将计数器与7段数码显示译码器使用原理图进行连接,并仿真及下载。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导件。 原理工程图: 实验4.基于LPM宏模块的计数器设计 (1) 实验目的:初步掌握Quartus II基于LPM宏模块的设计流程与方法并由此引出基于LPM模块的许多其他实用数字系统的自动设计技术。 (2) 实验内容: 根据教材175页8.5节的流程,利用Quartus II完成基于LPM宏模块的计数器设计编辑和仿真测试等步骤,给出仿真波形。 在实验系统上硬件测试,验证此设计的功能并进行解说。对于引脚锁定以及硬件下载测试。 (3) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。 实验5.序列检测器状态机设计 (1) 实验目的:用状态机实现序列检测器的设计,了解一般状态机的设计与应用。 (2) 实验原理:序列检测器可用于检测一组或多组由二进制吗组成的脉冲序列信号,当序列检测器连接收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。 (3) 实验内容1:按照教材180页8.7节的流程,利用Quartus II完成序列检测器状态机的设计编辑和仿真测试等步骤,给出仿真波形,了解控制信号的时序,最后进行引脚锁定并完成硬件测试实验。 建议选择电路模式8,用键8(PIO8)控制复位信号CLR;键6(PIO9)控制状态机工作时钟CLK;将8位待检测预置数由键4/键3作为外部输入,待检测串行序列数输入DS接PIO10(左移,最高位在前);指示输出DC接PIO43~PIO40(显示于数码管7), 现态码输出C接PIO47~PIO44(显示于数码管8)。下载后:①按实验板“系统复位”键;②用键2和键1输入2位十六进制待测序列数“11100101”;③按键8复位(平时数码7指示显“0”);④按键6(CLK) 8次,这时若串行输入的8位二进制序列码(显示于数码2/1和发光管D8~D0)与预置码“11100101”相同,则数码7应从原来的0变成1,表示序列检测正确,否则仍为0。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导件。 实验6.数字频率计 (1) 实验目的:设计6位10进制频率计,学习较复杂的数字系统设计方法。 (2) 实验原理:数字频率计由三大部分组成。3个CNT10模块分别是双十进制计数器模块,负责对被测频率信号进行计数;3个74374被用做输出数据的锁存器,锁存输出的数据,即所测频率值通过外接的数码管显示;TF_CTRL模块是此频率计的测频时序控制模块,由它发出ENB, LOCK, CLR三个控制信号,分别控制计数器的计数使能,锁存器的锁存控制,以及计数器的清零。 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的输入信号脉冲计数允许的信号;1秒计数结束后,计数值被锁入锁存器,计数器清0,为下一测频计数周期作好准备。测频控制信号可以由一个独立的发生器来产生,即TF_CTRL。 设计要求是:TF_CTRL的计数使能信号ENB能产生一个1秒脉宽的周期信号,并对频率计中的6位十进制计数器CNT10的ENA使能端进行同步控制。当ENB高电平时允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先需要一个锁存信号LOCK的上跳沿将计数器在前1秒钟的计数值锁存进锁存器74374b中,并由外部的7段译码器译出,显示计数值。设置锁存器的好处是数据显示稳定,不会由于周期性的清0信号而不断闪烁。锁存信号后,必须有一清0信号CLR对计数器进行清零,为下1秒的计数操作作准备。 (3) 实验内容:按照教材181页8.8节的流程,利用Quartus II完成数字频率计的设计编辑和仿真测试等步骤,给出仿真波形。建议选实验电路模式5;6个数码管以10进制形式显示测频输出;待测频率输入F_IN由clock5输入,频率可选32768Hz等;8HZ测频控制信号CLK1HZ可由clock2输入(用跳线选8Hz)。注意,这时6个数码管的测频显示值是10进制的。 (4) 实验内容2:将频率计改为8位10进制频率计,注意此设计电路的计数器必须是8个4位的10进制计数器。此外注意在测频速度上给予优化。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导件。 GW48CP+主系统使用说明 第一节 GW48教学实验系统原理与使用介绍 一、GW48系统使用注意事项 (用户必读!!!) a:闲置不用GW48系统时,必须关闭电源!!! b:在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。注意此复位键仅对实验系统的监控模块复位,而对目标器件FPGA没有影响,FPGA本身没有复位的概念,上电后即工作,在没有配置前,FPGA的I/O口是随机的,故可以从数码管上看到随机闪动,配置后的I/O口才会有确定的输出电平。 c:换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。其它接口都可带电插拔。请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。 二、GW48系统主板结构与使用方法 以下将详述GW48系列实验系统结构与使用方法,对于这2种型号的不同之处将给予单独指出。该系统的实验电路结构是可控的。即可通过控制接口键,使之改变连接方式以适应不同的实验需要。因而,从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控器的控制下,电路结构将发生变化---重配置。这种“多任务重配置”设计方案的目的有3个:1、适应更多的实验与开发项目;2、适应更多的PLD公司的器件;3、适应更多的不同封装的FPGA和CPLD器件。系统板面主要部件及其使用方法说明如下。以下是对GW48系统主板功能块的注释。 (1) “模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。这些结构如第二节的13 张实验电路结构图所示。例如选择了“NO.3”图,须按动系统板上此键,直至数码管“模式指示”数码管显示“3”,于是系统即进入了NO.3 图所示的实验电路结构。 (2) 适配板:这是一块插于主系统板上的目标芯片适配座。对于不同的目标芯片可配不同的适配座。可用的目标芯片包括目前世界上最大的六家FPGA/CPLD厂商几乎所有CPLD、FPGA和所有ispPAC等模拟EDA器件。第七节的表中已列出多种芯片对系统板引脚的对应关系,以利在实验时经常查用。 (3) ByteBlasterMV编程配置口:如果要进行独立电子系统开发、应用系统开发、电子设计竞赛等开发实践活动,首先应该将系统板上的目标芯片适配座拔下(对于Cyclone器件不用拔),用配置的10芯编程线将“ByteBlasterMV”口和独立系统上适配板上的10芯口相接,进行在系统编程(如GWDVP-B板),进行调试测试。“ByteBlasterMV”口能对不同公司,不同封装的CPLD/FPGA进行编程下载,也能对isp单片机89S51等进行编程。编程的目标芯片和引脚连线可参考附图1,从而进行二次开发。 (4)ByteBlasterII编程配置口:该口主要用于对Cyclone系列AS模式专用配置器件EPCS4和EPCS1等编程。 附图1 GW48系统电子设计二次开发信号图 (5) 混合工作电压源:系统不必通过切换即可为CPLD/FPGA目标器件提供5V、3.3V、2.5V、1.8V和1.5V工作电源,此电源位置可参考附图1。 (6)并行下载口:此接口通过下载线与微机的打印机口相连。来自PC机的下载控制信号和CPLD/FPGA的目标码将通过此口,完成对目标芯片的编程下载。计算机的并行口通信模式最好设置成“EPP”模式。 (7)键1~键8 :为实验信号控制键,此8个键受“多任务重配置”电路控制,它在每一张电路图中的功能及其与主系统的连接方式随模式选择键的选定的模式而变,使用中需参照第二节中的电路图。 (8)数码管1~8/发光管D1~D16 :受“多任务重配置”电路控制,它们的连线形式也需参照第二节的电路图。 (9)“时钟频率选择
西安电子科技大学 《数字电子技术》设计 题 目 电子幸运转盘设计 学生姓名 专业班级 11级通信2班 学 号 2 院 (系) 信息工程学院 指导教师 完成时间 2013年11月28日 目 录 1 设计的目的……………………………………………………1 2 设计的任务与要求…………………………………………2 3 设计方案与论证……………………………………………………3 3.1方案设计…………………………………………………3 3.2方案论证…………………………………………………4 4 设计原理及功能说明 ……………………………………………5 5单元电路的设计……………………………………………………5 6 硬件的制作与调试……………………………………………………5 7 总结 …………………………………………………………………10 参考文献…………………………………………………………………15 附录1:总体电路原理图……………………………………………18 附录2:元器件清单…………………………………………………19 1设计的目的 2 设计的任务与要求 3 设计方案与论证 根据电子幸运转盘的功能要求,将电路划分为四个单元功能模块,即时钟信号发生模 块、译码驱动LED数码管显示模块、十进制计数模块和开关等逻辑控制。 3.1 方案设计 本电路由555成的多谐振荡器和CD4017十进制计数器/脉冲分配器组成。10颗发光二 极管模拟幸运物,当按下启动键1秒以上,发光二极管高速循环点亮,几秒钟后旋转速度 越来越慢并最终随机停止于某颗灯上。可以将每颗灯旁边标上幸运物品作为摇奖器。C1 的数值决定延迟时间,C2的数值决定循环速度。电源供电电压为直流5V,也可以采用3节 1.5V电池供电。电子幸运转盘设计方框图如图3-1所示。 图3-1电子幸运转盘系统方框图 3.2 方案论证 4 设计原理及功能说明 4.1 电路设计原理 脉冲产生器由NE555及外围元件构成多谐振荡器,当按下按键S1时Q1导通,NE555的3脚输 出脉冲,则CD4017的10个输出端轮流输出高电平驱动10只LED轮流发光。松开按键后,由 于有电容C1的存在,Q1不会立即截止,随着C1两端电压的下降,Q1的导通程序逐渐减弱 ,3脚输出脉冲的频率变慢,LED移动频率也随之变慢。最后当C1放电结束后。Q1截止, NE555的3脚不再输出脉冲,LED停止移动。一次"开奖"过程就这样完成了。R2决定LED移 动速度,C1决定等待"开奖"的时间。 4.2 功能说明 5 单元电路的设计 5.1 CD4017与NE555的原理 5.1.1 CD4017工作原理 CD4017是一个十进制数集成电路,是十进制计数器/脉冲分配器,它的内部由计数 器及译码器两部分组成。16脚以及8脚分别为正负极电源接脚,可在3- 18V下工作。14是时钟脉冲输入端,每当输入由低电位(约0V)转高电位时令输出端依次 序轮流输出高电位。13端是时钟脉冲控制端,一般接低电位,若接高电位会使14端暂停 作用。15端是置零端,一般接零电位,若接高单位则是输出置零,即Q0输出端永远为高 单位,12端是进位端,用来接下一个十进计数器,变成双位计数器,本电路不用,故空 接。CD4017的内部结构图如图所示。 5.1.2 NE555工作原理 555定时器由3个阻值为5kΩ的电阻组成的分压器、两个电压比较器C1和C2、基本RS触 发器、放电三极管TD和缓冲反相器G4组成。虚线边沿标注的数字为管脚号。其中,1脚为 接地端;2脚为低电平触发端,由此输入低电平触发脉冲;6脚为高电平触发端,由此输 入高电平触发脉冲;4脚为复位端,输入负脉冲(或使其电压低于0.7V)可使555 定时器直接复位;5脚为电压控制端,在此端外加电压可以改变比较器的参考电压,不用 时,经0.01uF的电容接地,以防止引入干扰;7脚为放电端,555定时器输出低电平时, 放电晶体管TD导通,外接电容元件通过TD放电;3脚为输出端,输出高电压约低于电源电 压1V- 3V,输出电流可达200mA,因此可直接驱动继电器、发光二极管、指示灯等;8脚为电源 端,可在5V-18V范围内使用。NE555的内部电路如图所示。 5.2 CD4017与NE555在电路中的作用 在CD4017的14端接上一脉冲产生器便可成为电子幸运转盘。脉冲产生器是一个用计时 器集成电路555设计而成的无稳态多谐波振荡器,产生高低变化不停的方形脉冲波。当按 一下按钮式开关时,C1会及时充电至电源电压9V,此电压经晶体管(TR)缓冲放大器后 施加在IC2 555 无稳态多谐波振荡器的重置端上,令其开始震荡,在第三端输出方波脉冲。当按钮式开 关放开后,C1会经R1放电,其电压徐徐下降,IC3第4 端的电压
计算机程序设计-计算机程序设计综合练习 1、在信息技术条件下,保护个人信息采取的措施不当的是()。 A.接受不明的移动硬盘拷贝个人计算机资料 B.不在电脑上保存自己的信箱密码 C.不将计算机交给不明人员修理 D.不得随意将自己的计算机借给别人用 2、数字形式的媒体的主要特征是通过计算机网络将文本、图片等信息用()来表示。 A.二进制编码 B.八进制编码 C.十进制编码 D.十六进制编码 3、信息的基本特征之一()是指信息是事物运动的状态和存在方式,而运动、发展、变 化是宇宙的普遍规律。 A.普遍性 B.可传递性 C.可共享性 D.载体、方式可变性 4、关于计算机的主要特点,表述正确的是()。 A.运算速度快、运算精度高、应用范围广、能连续的、自动地运行工作 B.运算速度快、运算精度高、存储容量大、处理信息多 C.运算速度快、运算精度高、存储容量大、能连续的、自动地运行工作 D.运算速度快、运算精度高、应用范围广、能连续的、处理信息多 5、第三代计算机所采用的电子元器件是()。 A.电子管 B.晶体管 C.中小规模集成电路 D.大规模、超大规模集成电路 6、()公司一直在大型主机市场处于霸主地位。 A.IBM B.MICROSOFT C.惠普 D.索尼 7、()是以数据库技术为工具,实现一个部门的全面管理,以提高工作效率。 A.电子数据处理 B.人工智能 C.管理信息系统 D.决策支持系统 8、下列属于计算机辅助系统的是()。 A.ACD B.CAP C.CAN D.CAI 9、用计算机进行数据处理,从简单到复杂已经历了三个发展阶段,下列说法错误的是( )。 A.电子数据处理 B.智能数据收集 C.管理信息系统 D.决策支持系统 10、计算机工作原理是建立在()基础上的,逻辑代数是逻辑运算的理论依据。 A.数据运算 B.逻辑运算 C.物理运算 D.集合运算 11、ASCII码共有()种编码。 A.64 B.128 C.256 D.512 12、()是指各种进位计数制中允许选用基本数码的个数。 A.基数 B.权数 C.位数 D.进制 13、下列选项中,不属于系统软件的是()。 A.Linux B.Office C.DOS D.Oracle 14、下列不属于Office2003中组件的是()。 A.word B.SQLserver C.excel D.powerpoint 15、CPU是()的简称。 A.中央处理器 B.高速缓存 C.外存 D.缓存 16、下列关于硬盘的说法正确的是()。 A.是存储器,不是输入输出设备 B.既是存储器又是输入输出设备 C.是输入输出设备不是存储器 D.是存储器和输入设备不是输出设备 17、程序设计语言一般可分为三大类,下列选项中错误的是()。 A.机器语言 B.汇编语言 C.高级语言 D.低级语言 18、计算机操作系统都有对设备管理的功能,下列不属于其管理对象的是()。 A.内存 B.CPU C.鼠标键盘 D.打印机 19、解释程序相当于() A.口译 B.笔译 C.机器翻译 D.人工翻译 20、高速缓冲存储器又称()。 A.RAW B.Cache C.RAM D.ROM 21、微型计算机的主要性能指标不包括()。 A.运算速度 B.字长 C.显卡性能 D.主存容量 22、多媒体设备中,声音处理芯片基本功能不包括()。 A.采样和回放控制 B.将信号放大 C.处理MIDI指令 D.混响、合声 23、关于多媒体操作系统的功能,表述不正确的是()。 A.自动识别多媒体任务 B.多媒体数据转换和同步控制 C.图形用户界面管理 D.对多媒体设备的驱动和控制 24、下列属于非授权访问行为的是()。 A.避开系统访问机制,非正常使用网络资源 B.删除信息 C.窃取数据的使用权 D.传播病毒 25、操作系统安全第一级控制将用户分为三类,不包括()。 A.文件创建者 B.文件主合作者 C.文件修改者 D.其他用户 26、数据库系统而言,主要通过权限和授权来实现安全管理,其中insert权限表示() 。 A.允许插入新数据,但不允许修改已经存在的数据 B.允许创建和删除索引 C.允许修改数据,但不允许删除数据 D.允许创建新的关系 27、下列()病毒只是不停扩散,并不破坏计算机内的数据。 A.恶性病毒 B.良性病毒 C.中性病毒 D.单机病毒 28、预防计算机病毒传染,用户采取的措施不正确的是()。 A.尽量不使用外来存储设备,必须使用时要进行病毒检测 B.不要更新系统补丁和各种软件,以免出现漏洞,给病毒可乘之机 C.对重要的软件和数据定时备份,以便在发生病毒感染而遭到破坏时,可以恢复系统 D.使用网络下载的软件,应先确认其不带病毒,可用防病毒软件检查 29、下列()软件不能清除木马程序。 A.NortonAntiv
电子技术综合设计 - 1 - 1、数字逻辑信号测试系统(测试高、低电平)设计 设计说明:设计一个逻辑信号高低电平测试装置。被测信号电压范围1~12V。 设计要求: 测试范围:低于0.8V为低电平,高于3.5V为高电平; 高、低电平分别用1200Hz和750Hz的音响表示; 信号在0.8V~3.5V之间不发声。 2、数字频率计设计 设计说明:数字频率计用于测量正弦信号、矩形信号等波形的频率,其概念是单位时间里的脉冲个数,如果用一个定时时间T控制一个闸门电路,时间T内闸门打开,让被测信号通过而进入计数译码,可得到被测信号的频率,若T=1秒,则fx=N。 计数器锁存器译码器时钟电路10进制分频器显示器整形正弦波矩形波自检控制电路闸门1s0.001s数字频率计原理框图 计数器 锁存器 译码器 时钟电路 10进制分频器 显示器 整形 正弦波 矩形波 自检 控制电路 闸门 1s 0.001s 数字频率计原理框图 设计要求: (1)基本部分 被测信号的频率范围为1Hz999KHz,分成三个频段,即1Hz999Hz,1100KHz。100KHz~999KHz。 具有自检功能,即用仪器内部的标准脉冲校准测量精度。 用3为数码管显示测量数据,测量误差小于10%。 (2)发挥部分 用发光二极管表示单位,当绿灯亮时表示Hz,红灯亮时表示KHz。 具有超量程报警功能,在超出当前量程挡的测量范围时,发出灯光和音响信号。 测量误差小于5%。 量程自动转换功能。 电子技术综合设计全文共9页,当前为第1页。 电子技术综合设计全文共9页,当前为第1页。 3、自动节能灯的设计 设计说明:采用红外传感器接收人体位置信号,由控制电路实现 间人到灯亮、人走灯灭功能。 设计要求: 红外传感器接收信号距离不低于20m; 设计延时电路,人走出20m距离1分钟后,自动熄灭路灯 4、视力保护仪电路设计 设计说明:设备采用选用高亮度绿色发光管LED作为光源,设计电路使其可按一定规律发光,当用眼疲劳时眼睛随着发光管的亮灭不停地转换,可达到消除视力疲劳,预防近视的目的。 设计要求: 设计电路电源; 实现三个档位的发光管的亮灭频率:30Hz、20Hz、10Hz; 实现多个LED循环点亮. 5、汽车尾灯控制器的设计 设计说明:根据实际应用设计汽车尾灯控制器 设计要求: 系统共设6盏灯; 正常行驶灯全灭; 按左转键只有左面3盏依次点亮,每盏亮1s,每周期3s,右转同样要求; 踩下刹车则6只同时闪亮,频率为1Hz。 6、音频放大器设计 设计说明:能够对音频信号进行前置放大和功率放大 设计要求: 采集音频信号; 额定功率P0Ω 1W; 输入阻抗Ri>20kΩ,负载阻抗RL=8Ω,响应频率10Hz~40kHz; 音调特性在1 kHz处增益为0dB、100 Hz和10 kHz出具有±12dB的调节范围。 7、多功能数字钟 设计说明:设计并制作一个24小时制多功能数字钟,并带有闹钟功能。 设计要求: (1)基本要求 具有时间设置(小时和分钟)、闹钟设置、闹钟开、闹钟关功能; 数字显示小时、分钟,有AM和PM指示灯,闹钟就绪灯,蜂鸣器; 电子技术综合设计全文共9页,当前为第2页。可定时开启或关闭用电器的电源。 电子技术综合设计全文共9页,当前为第2页。 按键切换现场环境温度显示(0 ~ 60 ±1 ); 非接触止闹功能; 具有断电数据保持功能。 8、多路温度检测系统的设计 设计说明:在对温度要求较高的地方(比如粮库)需要对测试仓库的各个地方的温度进行监控,超过存储温度则需要报警,提醒管理人员打开换气设备。 设计要求: 以电位器输出的电压信号作为温度传感器的输出,输出电压0~5V时对应温度0~50C; 实现循环检测8路温度的检测电路,检测温度由LED显示; 温度测量精度±2 ; 显示器分两段,第一段显示测温点号;第二段2位十进制数,显示对应点的测量温度; 所连接的测温点中只要有任何一个测量点的温度达到高温值时应给出报警信号,当所有点的温度值降低到安全值后,停止报警。 9、1/100秒计时器 设计说明:设计一个精度为1/100秒的电子秒表。设计核心部分可用震荡器+分频器实现。 设计要求: 计时精度0.01秒; 6位数码显示,分别表示分、秒、1/10秒、1/100秒; 有两个按键分别控制秒表的归零、停止; 可连续记录至少5个计时时间; 增加一个按键循环显示以上的计时时间; 设计该秒表计时准确性的测试和调整方法(或电路)。 10、交通灯控制电路 设计说明:模仿交通灯的运行情况,设计一个交通灯控制电路。 设计要求: 用红、绿、黄三色发光二极管作为信号灯; 在每次由亮绿灯转变成亮红灯的过程中,要亮黄灯作为过渡; 红灯每次亮26秒,绿灯亮22秒,黄灯为4秒。用LED显示每个等的剩余时间。 11、电话按键显示器 设计

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值