Verilog实现四位加/减法器(逻辑表达式)

  起因是老师要我们以逻辑表达式的形式交作业,强调是逻辑表达式,在网上找了许久,没有找到,便从一些文章中找到了灵感,特分享


说明: 此代码为四位加/减法器的Verilog代码实现(用的是逻辑表达式)


  • 第一种表达:

module plus (
    input [3:0] P,
    input [3:0] G,
    input op,
    output [3:0] C
);
    /*
参数说明
op 是运算符号,op = 0时是加法, op = 1时是减法
P 和 G 是两个操作数,C 是结果
即 P op G = C
*/

    // 定义一个变量辅助计算
    wire [3:0] ci;
    // 用ci记录进位,异或运算进行不进位加法
    assign C[0]  = (G[0] ^ op) ^ P[0] ^ op;
    assign ci[1] = (G[0] ^ op) & P[0] | op & ((G[0] ^ op) | P[0]);

    // 计算第二位的结果和进位
    assign C[1]  = (G[1] ^ op) ^ P[1] ^ ci[1];
    assign ci[2] = (G[1] ^ op) & P[1] | ci[1] & ((G[1] ^ op) | P[1]);

    // 计算第三位的结果和进位
    assign C[2]  = (G[2] ^ op) ^ P[2] ^ ci[2];
    assign ci[3] = (G[2] ^ op) & P[2] | ci[2] & ((G[2] ^ op) | P[2]);

    // 计算第四位的结果
    assign C[3]  = (G[3] ^ op) ^ P[3] ^ ci[3];

endmodule
//~ `New testbench

`timescale 1ns / 10ps

module tb_plus;

    // plus Inputs
    reg  [3:0] P = 0;
    reg  [3:0] G = 0;
    reg        op = 0;

    // plus Outputs
    wire [3:0] C;

    plus plus (
        .P (P[3:0]),
        .G (G[3:0]),
        .op(op),
        .C (C[3:0])
    );

    initial begin
	// 测试样例1:
	// 2 + 4 = 6
        P  = 4'b0010;
        G  = 4'b0100;
        op = 0;
        #5;
	// 测试样例2:
	// 9 + 3 = C
        P  = 4'b1001;
        op = 0;
        G  = 4'b0011;
        #5;
	// 测试样例3:
	// 8 + 2 = A
        P  = 4'b1000;
        G  = 4'b0010;
        op = 0;
        #5;
	// 测试样例4:
	// A - 4 = 6
        P  = 4'b1010;
        G  = 4'b0100;
        op = 1;
        #5;
	// 测试样例5:
	// 9 - 7 = 2
        P  = 4'b1001;
        G  = 4'b0111;
        op = 1;
        #5;
	// 测试样例6:
	// C - 1 = B
        P  = 4'b1100;
        G  = 4'b0001;
        op = 1;
        #5;
        $stop;
    end

endmodule
  • 第二种表达:

module plus (
    input [3:0] P,
    input [3:0] G,
    input op,
    output [3:0] C
);
    /*
参数说明
op 是运算符号,op = 0时是加法, op = 1时是减法
P 和 G 是两个操作数,C 是结果
即 P op G = C
*/

    // 定义一个变量辅助计算
    wire [3:0] ci;
    // 用ci记录进位,异或运算进行不进位加法
    assign C[0]  = (G[0] ^ op) ^ P[0] ^ op;
    assign ci[0] = ((G[0] ^ op) & P[0]) || ((G[0] ^ op) & op) || (P[0] & op);

    // 计算第二位的结果和进位
    assign C[1]  = (G[1] ^ op) ^ P[1] ^ ci[0];
    assign ci[1] = ((G[1] ^ op) & P[1]) || ((G[1] ^ op) & ci[0]) || (P[1] & ci[0]);

    // 计算第三位的结果和进位
    assign C[2]  = (G[2] ^ op) ^ P[2] ^ ci[1];
    assign ci[2] = ((G[2] ^ op) & P[2]) || ((G[2] ^ op) & ci[1]) || (P[2] & ci[1]);

    // 计算第四位的结果
    assign C[3]  = (G[3] ^ op) ^ P[3] ^ ci[2];

endmodule
//~ `New testbench

`timescale 1ns / 10ps

module tb_plus;

    // plus Inputs
    reg  [3:0] P = 0;
    reg  [3:0] G = 0;
    reg        op = 0;

    // plus Outputs
    wire [3:0] C;

    plus plus (
        .P (P[3:0]),
        .G (G[3:0]),
        .op(op),
        .C (C[3:0])
    );

    initial begin
        $dumpfile("a.vcd");
        $dumpvars;

	// 测试样例1:
	// 2 + 4 = 6
        P  = 4'b0010;
        G  = 4'b0100;
        op = 0;
        #5;
	// 测试样例2:
	// 9 + 3 = C
        P  = 4'b1001;
        op = 0;
        G  = 4'b0011;
        #5;
	// 测试样例3:
	// 8 + 2 = A
        P  = 4'b1000;
        G  = 4'b0010;
        op = 0;
        #5;
	// 测试样例4:
	// A - 4 = 6
        P  = 4'b1010;
        G  = 4'b0100;
        op = 1;
        #5;
	// 测试样例5:
	// 9 - 7 = 2
        P  = 4'b1001;
        G  = 4'b0111;
        op = 1;
        #5;
	// 测试样例6:
	// C - 1 = B
        P  = 4'b1100;
        G  = 4'b0001;
        op = 1;
        #5;
        $finish;
    end

endmodule

这是上面的波形图波形图示例


参考链接:
原码, 反码, 补码 详解
Verilog——串行四位加法器和超前四位加法器74HC283

  • 5
    点赞
  • 48
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qing影

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值