传参实现SVA蕴含后续算子的延迟

传参实现SVA蕴含后续算子的延迟

1、断言以module的形式写在单独的文件内;

module dut_sva(
		input clk,
		input rst_n);
	reg [31:0] num;
	sequence delay_seq(v_delay);
		int delay;
		(1,delay=v_delay) ##0 first_match((1,delay=delay-1) [*0:$] ##0 delay<=0);
	endsequence
	property busy_down;
		@(posedge clk) disable iff(!rst_n) (fell(`DUT_TOP.a) && `DUT_TOP.b == 1 && mode == 0) |-> delay_seq(num) ##0 (`DUT_TOP.busy == 0)
	endproperty
	busy_down:assert property (busy_down) else $error("xxxxx");
endmodule

2、flist内添加段断言文件路径,在harness内将断言bind在DUT顶层上:

bind harness.DUT_TOP dut_sva U_DUT_SVA(
				.clk(harness.dut.clk),
				.rst_n(harness.dt.rst_n)
);
  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值