FPGA 时序逻辑 组合逻辑 连接 语句

### 5.3.4 always

`always` 块用于描述硬件电路中的时序逻辑或组合逻辑。括号里面的是敏感信号,当这些信号发生变化时,`always` 块中的代码会被执行。

- `always@(posedge Clk)`:括号中的敏感信号是 `posedge Clk`,表示在时钟信号 `Clk` 的上升沿时触发。这个通常用于时序逻辑。

- `always@(negedge Clk)`:表示在时钟信号 `Clk` 的下降沿时触发。

- `always@(*)`:这里的 `*` 表示所有输入信号的变化都会触发 `always` 块,通常用于组合逻辑。

- `always@(a or b)`:表示当信号 `a` 或 `b` 发生变化时触发 `always` 块。

### 5.3.5 assign

`assign` 语句用于给 `output`、`inout` 以及 `wire` 类型的信号进行连线。它相当于一条直接的连线,右边的表达式通过 `wire` 连接到左边,左边的信号必须是 `wire` 类型。

示例:

```verilog

wire a, b, y;

assign y = a & b;

```

这里,`y` 是 `a` 和 `b` 的逻辑与运算结果。当 `a` 或 `b` 变化时,`y` 也会立刻变化。

### 5.3.6 if...else...

这些语句的用法和高级语言(如C语言)中的用法类似,用于条件判断。

示例:

```verilog

if (condition) begin

    // 代码块1

end

if (condition) begin

    // 代码块2

end else begin

    // 代码块3

end

if (condition) begin

    // 代码块4

end else if (another_condition) begin

    // 代码块5

end

```

- `if (condition) begin ... end`:如果 `condition` 为真,则执行代码块1。

- `if (condition) begin ... end else begin ... end`:如果 `condition` 为真,则执行代码块2,否则执行代码块3。

- `if (condition) begin ... end else if (another_condition) begin ... end`:如果 `condition` 为真,则执行代码块4,否则如果 `another_condition` 为真,则执行代码块5。

### case

`case` 语句用于多路选择,具体用法如下:

```verilog

case (expression)

    value1: begin

        // 代码块1

    end

    value2: begin

        // 代码块2

    end

    ...

    default: begin

        // 默认代码块

    end

endcase

```

根据 `expression` 的值匹配相应的 `value` 并执行对应的代码块。如果没有匹配的值,则执行 `default` 代码块。

希望这些解释能帮助你更好地理解这些内容!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

行者..................

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值