Verilog简介

verilog的由来:
1983年,Gateway设计自动化公司的工程师创立了Verilogs.当时Gateway设计自动化公司还叫做自动集成设计系统(Automated Integrated Design Systems),1985年公司将名字改为Gateway设计自动化。这个公司的菲尔·莫比(PhilMoorby)完成了Verilog的主要设计工作。1990年Gateway设计自动化被Cadence 公司收购。1990年代初,开放Verilog国际(Open Verilog International, OVI)组织(现在的Accellera)成立,Verilog面向公有领域开放。1992年,该组织寻求将Verilog纳入电气电子工程师学会标准 。最终,Verilog成为了电气电子工程师学会1364-1995标准,即通常所说的Verilog-95。设计人员在使用这个版本的Verilog的过程中发现了一些可改进之处。为了解决用户在使用此版本Verilog过程中反映的问题,Verilog进行了修正和扩展,这部分内容后来再次被提交给电气电子工程师学会。这个扩展后的版本后来成为了电气电子工程师学会1364-2001标准,即通常所说的Verilog-2001。Verilog-2001是对Verilog-95的一个重大改进版本,它具备一些新的实用功能,例如敏感列表、多维数组、生成语句块、命名端口连接等。目前,Verilog-2001是Verilog的最主流版本,被大多数商业电子设计自动化软件包支持。05年,Verilog再次进行了更新,即电气电子工程师学会1364-2005标准。该版本只是对上一版本的细微修正。这个版本还包括了一个相对独立的新部分,即Verilog-AMS。这个扩展使得传统的Verilog可以对集成的模拟和混合信号系统进行建模。容易与电气电子工程师学

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog是一种硬件描述语言(HDL),用于设计和描述数字电路和系统。下面是一个简单的Verilog语言入门教程的概述: 1. Verilog简介:了解Verilog的背景和用途,以及它在数字电路设计中的作用。 2. 模块和端口:学习如何定义Verilog模块,并定义内部信号和外部端口。 3. 数据类型和变量:了解Verilog中的数据类型(包括位、整数和实数)以及如何声明和使用变量。 4. 运算符和表达式:熟悉Verilog中的运算符和表达式,包括算术、逻辑和位运算符。 5. 控制结构:学习如何使用条件语句(如if-else和case语句)和循环语句(如for和while循环)来控制Verilog代码的执行。 6. 组合逻辑:了解如何使用逻辑门和逻辑运算符来实现组合逻辑电路。 7. 时序逻辑:学习如何使用时钟信号、触发器和寄存器来实现时序逻辑电路。 8. 模块实例化:了解如何在Verilog代码中实例化其他模块,并将它们连接起来。 9. 仿真和测试:学习如何使用Verilog模拟器来测试和验证设计。 10. 高级主题:进一步学习Verilog的高级特性,如多层次层次化设计、参数化模块和系统任务。 这只是一个简要的概述,你可以通过阅读Verilog语言的教程和参考资料来深入学习。一些常用的Verilog教程和资源包括CSDN、EDAboard和ASIC World等网站上的教程、书籍和在线课程。希望这些信息对你有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值