ModelSim 仿真流程

每次用的时候都会忘记,记录一哈ModelSim的使用流程

新建文件路径

在这里插入图片描述

ModelSim更改目录

在这里插入图片描述

建库

在这里插入图片描述
在这里插入图片描述

建工程

在这里插入图片描述
在这里插入图片描述

建测试.v

在这里插入图片描述

在这里插入图片描述

测试文件编写

参数定义

`define clk_period 200	//200ns = 5MHz

时钟产生

initial isci_clk = 1'b0;
always #(`clk_period / 2) isci_clk = ~isci_clk;

边沿触发

initial begin
    isci_rx = 1;
    repeat(1) @(posedge isci_clk)	//等待1个时钟上升沿
    start = 0;
end

电平触发

initial begin
    osci_tx_en= 1;
    wait(osci_tx_en);			//等待osci_tx_en==1
    osci_tx_en= 0;
end

任务

//调用方式:scirxdata(0xAA);
task scirxdata;
	input	[7:0]	data;
	begin
	@(posedge isci_clk)isci_rx = data[7];
	@(posedge isci_clk)isci_rx = data[6];
	@(posedge isci_clk)isci_rx = data[5];
	@(posedge isci_clk)isci_rx = data[4];
	@(posedge isci_clk)isci_rx = data[3];
	@(posedge isci_clk)isci_rx = data[2];
	@(posedge isci_clk)isci_rx = data[1];
	@(posedge isci_clk)isci_rx = data[0];
	end
endtask

编译

在这里插入图片描述

仿真

在这里插入图片描述
#添加波形
在这里插入图片描述

调配置

设置仿真时间长度
在这里插入图片描述

  • 7
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Mapoplus

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值