Verilog基础(一)

一、Verilog硬件描述语言的主要能力:

•基本逻辑门,例如 andornand等都内置在语言中。

•用户定义原语( UDP)创建的灵活性。用户定义的原语既可以是组合逻辑原语,也可以 是时序逻辑原语。

•开关级基本结构模型,例如 pmos nmos等也被内置在语言中

•提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查。

采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式—使用过 程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和 模块实例语句描述建模。

•Verilog HDL中有两类数据类型:线网数据类型寄存器数据类型。线网类型表示构件 间的物理连线,而寄存器类型表示抽象的数据存储元件。

•能够描述层次设计,可使用模块实例结构描述任何层次。

•设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。

•Verilog HDL不再是某些公司的专有语言而是IEEE标准。

•人和机器都可阅读Verilog语言,因此它可作为 EDA的工具和设计者之间的交互语言。

• Verilog HDL语言的描述能力能够通过使用编程语言接口( PLI)机制进一步扩展。 PLI是允许外部函数访问Verilog模块内信息、允许设计者与模拟器交互的例程集合。

•设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL)到算法级, 包括进程和队列级。

•能够使用内置开关级原语在开关级对设计完整建模。

•同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。

•VerilogHDL能够监控模拟验证的执行,即模拟验证执行过程中设计的值能够被监控和显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息。

•在行为级描述中,Verilog HDL不仅能够在 RTL级上进行设计描述,而且能够在体系结 构级描述及其算法级行为上进行设计描述。

•能够使用门和模块实例化语句在结构级进行结构描述。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

花间ii

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值