Verilog学习笔记(第一节:基本词法)

一、标识符

与C语言中规定相同,由字母、数字、$符号和_(下划线)符号组成,标识符中第一个字符必须是字母或者是下划线。

标识符区分大小写。

二、关键字

关键字是编程语言保留的特殊标识符,具有固定名称和含义,编写程序时,不允许有标识符与关键字相同。运用文本编辑器或IDE的语法高亮功能可以帮助减少输入错误和代码的理解。

关键字均小写,常见关键字有:always,and,assign,buf,bufif0,bufif1,case,casex,casez,cmos...

三、注释

Verilog中,注释的规定与C语言相同,有两种形式。一是单行注释,以“//”开始,本行余下全部内容不进行编译;二是多行编译,以“/*”开始,“*/”结束,两个符号间所有内容均为注释。

四、空白符

空白符包括空格、换行、制表符(Tab)和换页。空白符不会被编译但是能使代码错落有致,便于阅读和理解。

例如

initial begin Top=3'b001;#2 Top=3'b011;end

和下面的代码并无区别

initial 
    begin 
    Top=3'b001;
    #2 Top=3'b011;
end


  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值