FPGA开发问题 ip版本不兼容以及Invalid option value specified for ‘-runs‘.

在github或者别的地方下载别人的工程后,我们往往会因为vivado版本的不一致导致里面ip核被锁住不能用的情况,例如下图旁边出现了一个小锁

这时候generate ip和reset都是灰框,这时候我们需要把ip升级到你的vivado版本

点击upgrade,并选择上面那个选项

然后按照常规配置ip核的操作generate就可以

通常在这会遇到以下报错:Invalid option value specified for '-runs'.

这时候再右击ip核,ip核旁边的小锁已经没有了,然后右击之后发现原本灰框的也变黑了,先reset output products,然后再generate output products就可以了,相当于重置的意思

再重新生成之后,就可以了

  • 3
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值