硬件工程师必会单词

英文电子专业词汇(新手必备)

1 backplane 背板

2 Band gap voltage reference 带隙电压参考

3 bench top supply 工作台电源

4 Block Diagram 方块图

5 Bode Plot 波特图

6 Bootstrap 自举

7 Bottom FET Bottom FET 8 bucket capacitor 桶形电容

9 chassis 机架

10 Combi-sense Combi-sense

11 constant current source 恒流源

12 Core Saturation 铁芯饱和

13 crossover frequency 交叉频率

14 current ripple 纹波电流

15 Cycle by Cycle 逐周期

16 cycle skipping 周期跳步

17 Dead Time 死区时间

18 DIE Temperature 核心温度

19 Disable 非使能,无效,禁用,关断

20 dominant pole 主极点

21 Enable 使能,有效,启用

22 ESD Rating ESD 额定值

23 Evaluation Board 评估板

24 Exceeding the specifications below may result in permanent damage to the device, or device malfunction. Operation outside of the parameters specified in the Electrical Characteristics section is not implied. 超过下 面的规格使用可能引起永久的设备损害或设 备故障。建议不要工作在电特性表规定的参 数范围以外。

25 Failing edge 下降沿

26 figure of merit 品质因数

27 float charge voltage 浮充电压

28 flyback power stage 反驰式功率级

29 forward voltage drop 前向压降

30 free-running 自由运行

31 Freewheel diode 续流二极管

32 Full load 满负载

33 gate drive 栅极驱动

34 gate drive stage 栅极驱动级

35 gerber plot Gerber 图

36 ground plane 接地层

37 Henry 电感单位:亨利

38 Human Body Model 人体模式

39 Hysteresis 滞回

40 inrush current 涌入电流

41 Inverting 反相

42 jittery 抖动

43 Junction 结点

44 Kelvin connection 开尔文连接

45 Lead Frame 引脚框架

46 Lead Free 无铅

47 level-shift 电平移动

48 Line regulation 电源调整率

49 load regulation 负载调整率

50 Lot Number 批号

51 Low Dropout 低压差

52 Miller 密勒

53 node 节点

54 Non-Inverting 非反相

55 novel 新颖的

56 off state 关断状态

57 Operating supply voltage 电源工作电压

58 out drive stage 输出驱动级

59 Out of Phase 异相

60 Part Number 产品型号

61 pass transistor 晶体管  pass-transistor  通路晶体管

62 P-channel MOSFET P 沟道 MOSFET

63 Phase margin 相位裕度

64 Phase Node 开关节点

65 portable electronics 便携式电子设备

66 power down 掉电

67 Power Good 电源正常

68 Power Groud 功率地

69 Power Save Mode 节电模式

70 Power up 上电

71 pull down 下拉

72 pull up 上拉

73 Pulse by Pulse 逐脉冲(Pulse by Pulse)

74 push pull converter 推挽转换器

75 ramp down 斜降

76 ramp up 斜升

77 redundant diode 冗余二极管

78 resistive divider 电阻分压器 - 2 -

79 ringing 振 铃

80 ripple current 纹波电流

81 rising edge 上升沿

82 sense resistor 检测电阻

83 Sequenced Power Supplys 序列电源

84 shoot-through 直通,同时导通

85 stray inductances. 杂散电感

86 sub-circuit 子电路

87 substrate 基板

88 Telecom 电信

89 Thermal Information 热性能信息

90 thermal slug 散热片

91 Threshold 阈值

92 timing resistor 振荡电阻

93 Top FET Top FET 94 Trace 线路,走线,引线

95 Transfer function 传递函数

96 Trip Point 跳变点

97 turns ratio 匝数比,=Np / Ns。(初级匝 数/次级匝数)

98 Under Voltage Lock Out (UVLO) 欠压锁 定

99 Voltage Reference 电压参考

100 voltage-second product 伏秒积

101 zero-pole frequency compensation 零极点 频率补偿

102 beat frequency 拍频

103 one shots 单击电路

104 scaling 缩放

105 ESR 等效串联电阻

106 Ground 地电位

107 trimmed bandgap 平衡带隙

108 dropout voltage 压差

109 large bulk capacitance 大容量电容

110 circuit breaker 断路器

111 charge pump 电荷泵

112 overshoot 过冲

1) 元件设备

三绕组变 压 器 : three-column transformer ThrClnTrans

双绕组变压器:double-column transformer DblClmnTrans

电容器:Capacitor

并联电容器:shunt capacitor

电抗器:Reactor

母线:Busbar

输电线:TransmissionLine

发电厂:power plant

断路器:Breaker

刀闸(隔离开关):Isolator

分接头:tap

电动机:motor

2) 状态参数

有功:active power

无功:reactive power

电流:current

容量:capacity

电压:voltage

档位:tap position

有功损耗:reactive loss

无功损耗:active loss

功率因数:power-factor

功率:power

功角:power-angle

电压等级:voltage grade

空载损耗:no-load loss

铁损:iron loss

铜损:copper loss

空载电流:no-load current

阻抗:impedance

正序阻抗:positive sequence impedance

负序阻抗:negative sequence impedance

零序阻抗:zero sequence impedance

电阻:resistor

电抗:reactance

电导:conductance

电纳:susceptance

无功负载:reactive load 或者 QLoad

有功负载: active load PLoad

遥测:YC(telemetering)

遥信:YX

励磁电流(转子电流):magnetizing current

定子:stator

功角:power-angle

上限:upper limit

下限:lower limit

并列的:apposable

高压: high voltage

低压:low voltage

中压:middle voltage

电力系统 power system

发电机 generator

励磁 excitation

励磁器 excitor

电压 voltage

电流 current

母线 bus

变压器 transformer

升压变压器 step-up transformer

高压侧 high side

输电系统 power transmission system

输电线 transmission line

固定串联电容补偿 fixed series capacitor compensation

稳定 stability

电压稳定 voltage stability

功角稳定 angle stability

暂态稳定 transient stability

电厂 power plant

能量输送 power transfer

交流 AC 装机容量 installed capacity

电网 power system

落点 drop point

开关站 switch station

双回同杆并架 double-circuit lines on the same tower

变电站 transformer substation

补偿度 degree of compensation

高抗 high voltage shunt reactor

无功补偿 reactive power compensation

故障 fault

调节 regulation

裕度 magin

三相故障 three phase fault

故障切除时间 fault clearing time

极限切除时间 critical clearing time

切机 generator triping

高顶值 high limited value

强行励磁 reinforced excitation

线路补偿器 LDC(line drop compensation)

机端 generator terminal

静态 static (state)

动态 dynamic (state)

单机无穷大系统 one machine - infinity bus system

机端电压控制 AVR

电抗 reactance

电阻 resistance

功角 power angle

有功(功率) active power

无功(功率) reactive power

功率因数 power factor

无功电流 reactive current

下降特性 droop characteristics

斜率 slope

额定 rating

变比 ratio

参考值 reference value

电压互感器 PT

分接头 tap

下降率 droop rate

仿真分析 simulation analysis

传递函数 transfer function

框图 block diagram

受端 receive-side

裕度 margin

同步 synchronization

失去同步 loss of synchronization

阻尼 damping

摇摆 swing

保护断路器 circuit breaker

电阻:resistance

电抗:reactance

阻抗:impedance

电导:conductance

电纳:susceptance

导纳:admittance

电感:inductance

电容: capacitance

coupling  耦 合 intermittent 周期的 dislocation 错位 propeller 螺旋桨

switchgear 配 电 装 置 dispersion 差量 flange 法兰盘 dielectric 介电的

binder 胶 合剂 alignment 定 位 elastomer 合成橡胶 corollary 必然的结果  rabbet 插槽

vent 通风孔 subtle 敏感的 gearbox 变速箱 plate 电镀 crucial 决定性 的 flexible 柔性的

technics 工艺 ultimate 最终 的 resilience 弹性 vendor 自动售货机 partition 分类

rigid 刚性的 prototype 样机 diagram 特性 曲线 interfere 干涉 compatible 兼容的

simulation 模拟 clutch 离合器 refinement 精加工 fixture 夹 具 torque 扭矩 responsive 敏感的 tensile 拉伸 cushion 减震器 rib 肋 strength 强度 packing 包装 metallized 金属化 stress 应力 mitigate 减轻 trade off 折衷方案 yield 屈 伸 line shaft 中间轴 matrix 母体 inherent 固有 的

spindle 主轴 aperture 孔径 conformance 适 应性 axle 心轴 turbulence 扰动 specification 规 范 semipermanent 半永久性的 enclosure 机壳 specialization 规范化 bolt 螺栓 oscillation 振幅

calling 职业 nut 螺母 anneal 退火 vitalize 激发 screw 螺丝 polymer 聚合体 revelation 揭 示

fastner 紧固件 bind 凝固 dissemination 分 发 rivit 铆钉 mount 支架 booster 推进器

hub 轴套 distortion 变形 contractual 契约 的 coaxial 同心的 module 模块 verdict 裁决

crank 曲柄 slide 滑块 malfunction 故障 inertia 惰性 medium 介质 allegedly 假定

active 活性的 dissipation 损耗 controversy 辩论 lubrication 润滑 assembly 总装 dictate 支 配 graphite 石墨 encapsulate 封装 incumbent 义不容辞的 derivative 派生物 adhesive 粘 合 剂 validation 使生效 contaminate 沾染 turbine 涡轮 procurement 收购 asperity 粗糙 bearing 支撑架 mortality 失 败率 metalworking 金属加 工 isostatic 均 衡 的 shed light on 阐明 viscous 粘稠的 osculate 接触 adversely 有 害的 grinding 研 磨 imperative 强 制 的 consistency 连续性

corrosin 侵蚀 lattice 晶格 fitness 适应性 flush 冲洗 fracture 断裂 warrant 保证 inhibitor 防腐剂 diffusivity 扩散率 turning 车工 dispersant 分 散 剂 vice versa 反 之 亦 然 ways 导轨

deteriorate 降低 tribological 摩擦的 hybrid 混合物 neutralize 平 衡 screen 屏 蔽 ID=inside diameter pulley 滑 轮 exclusion 隔 绝 OD=outside diameter hydraulic 液 压 的 insulation 绝 缘 reciprocate 往复运动 delicate 精密的 elaborate 加工 dress 精整 dampen 阻尼

incontrovertible 无可争议的 by and large 大体上 pivotal 中枢的 luminous 发光的 plastic 塑 胶 utilitarian 功 利 主 义 out of round 失 园

organic 有机的 grass root 基层 premature 过早的 film 薄 膜 state-of-the -art 技术发展水平

guard 防护罩 polyester 聚酯 blade 托板 permeate 渗入 epoxy 环氧的 carrier 载体

spillage 溢出 polypropylene 聚 丙烯 chuck 卡盘 erosion 浸蚀 photoconductive 光敏的

infeed 横 向 进 给 routine 程 序 miniaturization 小型化 lapping 抛 光 postprocess 后 置 处 理

asynchronism 异步 milling 洗 削 solder-bump 焊 点 synchronization 同步 speciality 专业

grid 栅格 respond 响应 stroke 行程 impedance 阻抗 feedback 反馈 attachment 备 件 approximately 大 约 aberrance 畸变 tapered 楔形的 purported 据说 steady 稳态 的

casting 铸件 consumable 消费品 dynamic 动态的 index 换档 inductance 电感

transient 瞬态 的 stop 挡块 capacitance 电容 coordinate 坐标 contour 轮廓 resistance 电容

curve 曲线 machine center 加工中心 audion 三极管 diagram 特性曲线 capitalize 投资

diode 二极管 history 关系 曲线 potentiometer 电 位 器 transistor 晶 体 管 gradient 斜率

know-how 实践知识 choker 扼 流 圈 parabola 抛物线 potted 封装的 filter 滤波器 root 根 mechatronics 机电一体化 transformer 变压 器 eigenvalue 特征值 stem from 起源于

fuse 保险丝 function 函 数 rule-based 基于规则的 annular core 磁环 vector 向量

consolidation 巩 固 radiator 散 热 器 reciprocal 倒数 energize 激发 regulator 稳压器

virtual value 有效值 synchronous 同时发生 bobbin 骨架 square root 平方根 socket 插孔

tape 胶带 cube 立方 polarity 极性 ceramic capacitor 瓷片电容 integral 积分 armature 电 枢 electrolytic C 电 解 电 容 differential 微分 installment 分期付款 self-tapping screw 自 攻螺丝 hisgram 直方图 lobe 凸起 footprint 封装 ratio 比率 plunge 钻入 resin 松香

grade down 成比例 降低 servo 伺 服 机 构 solderability 可 焊 性

proportion 比例 dedicated 专用的 shock 机械冲击 inverse ratio 反比 interpolation 插补 endurance 耐久性 direct ratio 正比 compensation 校正 initial value 初始值 plus 加 upload 加载 flashing 飞弧 subtract 减 overload 过载 canned 千篇一律的 multiply 乘 lightload 轻载 lot 抽签 divide 除 stagger 交错排列 parallel 并联 impedance 阻抗 traverse 横向 in series 串联 damp 阻尼 longitudinal 纵 向 的 equivalent 等 效 的 reactance 电抗 latitudinal 横向的 terminal 终端 admittance 导纳 restrain 约束 creep 蠕动 susceptance 电纳 square 平方 Hyperlink 超级连接 spring 触发 memo 备忘录 wastage 损耗 presentation 陈述 principle 原理 binder 打包 planer 刨床 source program 源程序 Client-Server Model 客户机 server 服务器 table 表 query 查询 form 表单 report 报表 macro 宏 module 模块 field 字段 record 记录 printed circuit 印制电路 printed wiring 印制线路 printed board 印制板 printed circuit board 印制板电路 printed wiring board 印制线路板 printed component 印制元件 printed contact 印制接点

printed board assembly 印制板装配 board 板 rigid printed board 刚性印制板

flexible printed circuit 挠性印制电路 flexible printed wiring 挠性印制线路 flush printed board 齐平印制板 metal core printed board 金属芯印制板 metal base printed board 金属基印制板 mulit-wiring printed board 多重布线印制板 molded circuit board 模塑电路板 discrete wiring board 散线印制板 micro wire board 微线印制板 buile-up printed board 积层印制板 surface laminar circuit 表面层合电路板 B2it printed board 埋入凸块连印制板 chip on board 载芯片板 buried resistance board 埋电阻板 mother board 母板 daughter board 子板 backplane 背板 bare board 裸板 copper-invar-copper board 键盘板夹心板 dynamic flex board 动态挠性板 static flex board 静态挠性板 break-away planel 可断拼板 cable 电缆 flexible flat cable (FFC) 挠性扁平电缆 membrane switch 薄膜开关 hybrid circuit 混合电路 thick film 厚膜 thick film circuit 厚膜电路 thin film 薄膜 thin film hybrid circuit 薄膜混合电路 interconnection 互连 conductor trace line 导线 flush conductor 齐平导线 transmission line 传输线 crossover 跨交 edge-board contact 板边插头 stiffener 增强板 substrate 基底 real estate 基板面 conductor side 导线面 component side 元件面 solder side 焊接面 printing 印制 grid 网格 pattern 图形 conductive pattern 导电图形 non-conductive pattern 非导电图形 legend 字符 mark 标志 base material 基材 laminate 层压板 metal-clad bade material 覆金属箔基材 copper-clad laminate (CCL) 覆铜箔层压板 composite laminate 复合层压板 thin laminate 薄层压板 basis material 基体材料 prepreg 预浸材料 bonding sheet 粘结片 preimpregnated bonding sheer 预浸粘结片 epoxy glass substrate 环氧玻璃基板 mass lamination panel 预制内层覆箔板 core material 内层芯板 bonding layer 粘结层 film adhesive 粘结膜 unsupported adhesive film 无支撑胶粘剂膜 cover layer (cover lay) 覆盖层 stiffener material 增强板材 copper-clad surface 铜箔面 foil removal surface 去铜箔面 unclad laminate surface 层压板面 base film surface 基膜面 adhesive faec 胶粘剂面 plate finish 原始光洁面 matt finish 粗面 length wise direction 纵向 cross wise direction 模向 cut to size panel 剪切板 ultra thin laminate 超薄型层压板 A-stage resin A 阶树脂 B-stage resin B 阶树脂 C-stage resin C 阶树脂 epoxy resin 环氧树脂 phenolic resin 酚醛树脂 polyester resin 聚酯树脂 polyimide resin 聚酰亚胺树脂 bismaleimide-triazine resin 双马来酰亚胺三 嗪树脂 - 7 - acrylic resin 丙烯酸树脂 melamine formaldehyde resin 三聚氰胺甲醛 树脂 polyfunctional epoxy resin 多官能环氧树脂 brominated epoxy resin 溴化环氧树脂 epoxy novolac 环氧酚醛 fluroresin 氟树脂 silicone resin 硅树脂 silane 硅烷 polymer 聚合物 amorphous polymer 无定形聚合物 crystalline polamer 结晶现象 dimorphism 双晶现象 copolymer 共聚物 synthetic 合成树脂 thermosetting resin 热固性树脂 thermoplastic resin 热塑性树脂 photosensitive resin 感光性树脂 epoxy value 环氧值 dicyandiamide 双氰胺 binder 粘结剂 adesive 胶粘剂 curing agent 固化剂 flame retardant 阻燃剂 opaquer 遮光剂 plasticizers 增塑剂 unsatuiated polyester 不饱和聚酯 polyester 聚酯薄膜 polyimide film (PI) 聚酰亚胺薄膜 polytetrafluoetylene (PTFE) 聚四氟乙烯 reinforcing material 增强材料 glass fiber 玻璃纤维 E-glass fibre E 玻璃纤维 D-glass fibre D 玻璃纤维 S-glass fibre S 玻璃纤维 glass fabric 玻璃布 non-woven fabric 非织布 glass mats 玻璃纤维垫 yarn 纱线 filament 单丝 strand 绞股 weft yarn 纬纱 warp yarn 经纱 denier 但尼尔 warp-wise 经向 thread count 织物经纬密度 weave structure 织物组织 plain structure 平纹组织 grey fabric 坏布 woven scrim 稀松织物 bow of weave 弓纬 end missing 断经 mis-picks 缺纬 bias 纬斜 crease 折痕 waviness 云织 fish eye 鱼眼 feather length 毛圈长 mark 厚薄段 split 裂缝 twist of yarn 捻度 size content 浸润剂含量 size residue 浸润剂残留量 finish level 处理剂含量 size 浸润剂 couplint agent 偶联剂 finished fabric 处理织物 polyarmide fiber 聚酰胺纤维 aromatic polyamide paper 聚芳酰胺纤维纸 breaking length 断裂长 height of capillary rise 吸水高度 wet strength retention 湿强度保留率 whitenness 白度 ceramics 陶瓷 conductive foil 导电箔 copper foil 铜箔 rolled copper foil 压延铜箔 annealed copper foil 退火铜箔 thin copper foil 薄铜箔 adhesive coated foil 涂胶铜箔 resin coated copper foil 涂胶脂铜箔 composite metallic material 复合金属箔 carrier foil 载体箔 invar 殷瓦 foil profile 箔(剖面)轮廓 shiny side 光面 matte side 粗糙面 treated side 处理面 stain proofing 防锈处理 double treated foil 双面处理铜箔 shematic diagram 原理图 logic diagram 逻辑图 - 8 - printed wire layout 印制线路布设 master drawing 布设总图 computer aided drawing 计算机辅助制图 computer controlled display 计算机控制显示 placement 布局 routing 布线 layout 布图设计 rerouting 重布 simulation 模拟 logic simulation 逻辑模拟 circit simulation 电路模拟 timing simulation 时序模拟 modularization 模块化 layout effeciency 布线完成率 MDF databse 机器描述格式数据库 design database 设计数据库 design origin 设计原点 optimization (design) 优化(设计) predominant axis 供设计优化坐标轴 table origin 表格原点 mirroring 镜像 drive file 驱动文件 intermediate file 中间文件 manufacturing documentation 制造文件 queue support database 队列支撑数据库 component positioning 元件安置 graphics dispaly 图形显示 scaling factor 比例因子 scan filling 扫描填充 rectangle filling 矩形填充 region filling 填充域 physical design 实体设计 logic design 逻辑设计 logic circuit 逻辑电路 hierarchical design 层次设计 top-down design 自顶向下设计 bottom-up design 自底向上设计 net 线网 digitzing 数字化 design rule checking 设计规则检查 router (CAD) 走(布)线器 net list 网络表 subnet 子线网 objective function 目标函数 post design processing (PDP) 设计后处理 interactive drawing design 交互式制图设计 cost metrix 费用矩阵 engineering drawing 工程图 block diagram 方块框图 moze 迷宫 component density 元件密度 traveling salesman problem 回售货员问题 degrees freedom 自由度 out going degree 入度 incoming degree 出度 manhatton distance 曼哈顿距离 euclidean distance 欧几里德距离 network 网络 array 阵列 segment 段 logic 逻辑 logic design automation 逻辑设计自动化 separated time 分线 separated layer 分层 definite sequence 定顺序 conduction (track) 导线(通道) conductor width 导线(体)宽度 conductor spacing 导线距离 conductor layer 导线层 conductor line/space 导线宽度/间距 conductor layer No.1 第一导线层 round pad 圆形盘 square pad 方形盘 diamond pad 菱形盘 oblong pad 长方形焊盘 bullet pad 子弹形盘 teardrop pad 泪滴盘 snowman pad 雪人盘 V-shaped pad V 形盘 annular pad 环形盘 non-circular pad 非圆形盘 isolation pad 隔离盘 monfunctional pad 非功能连接盘 offset land 偏置连接盘 back-bard land 腹(背)裸盘 anchoring spaur 盘址 land pattern 连接盘图形 land grid array 连接盘网格阵列 annular ring 孔环 component hole 元件孔 - 9 - mounting hole 安装孔 supported hole 支撑孔 unsupported hole 非支撑孔 via 导通孔 plated through hole (PTH) 镀通孔 access hole 余隙孔 blind via (hole) 盲孔 buried via hole 埋孔 buried blind via 埋,盲孔 any layer inner via hole 任意层内部导通孔 all drilled hole 全部钻孔 toaling hole 定位孔 landless hole 无连接盘孔 interstitial hole 中间孔 landless via hole 无连接盘导通孔 pilot hole 引导孔 terminal clearomee hole 端接全隙孔 dimensioned hole 准尺寸孔 via-in-pad 在连接盘中导通孔 hole location 孔位 hole density 孔密度 hole pattern 孔图 drill drawing 钻孔图 assembly drawing 装配图 datum referan 参考基准 电器电子英语词汇(A) Word by Word 全面学英语,人人背单词 英语 词汇网论坛 1/f noise 1/f 噪声 16 bit microcomputer 16 位微型计算机 3 d distribution 三维分布 4 bit slice processor 4 位片处理机 5 reference 5 伏基准电压源 a d converter 模拟数字转换器模数转换器 abbreviated code 缓冲存储器 abbreviated dialing 快速呼叫 aberration 象差 abnormal glow discharge 异常辉光放电 abnormal reflections 异常反射 abrasion 磨耗 abrasive 磨料 abrasive dust 磨粉 abrasive jet machining 磨料喷射加工 abrasive jet trimming 磨料喷射蝶 abrasive paste 磨蚀剂 abrasive trimming 研磨蝶 abrupt degradation 急剧退化 abrupt heterojunction 突变异质结 abrupt junction 突变结 absolute threshold of luminance 绝对亮度阈 absorbed power 吸收功率 absorber 吸收剂 absorbing capacity 吸收能力 absorbing circuit 吸收电路 absorbing layer 吸收层 absorbing medium 吸收媒质 absorbing transition 吸收跃迁 absorption 吸收 absorption band 吸收带 absorption length 吸收长度 absorption line 吸收线 absorption loss 吸收损失 absorption measurement 吸收测定 absorption modulation 吸收灯 absorption point 吸收点 absorption resistance 吸收电阻 absorption thickness 吸收长度 absorptivity 吸收能力 abutment joint 对接 ac cut quartz ac 截割水晶片 accelerated aging 加速老化 accelerated particle 加速粒子 accelerated test 加速试验 accelerating anode 加速阳极 accelerating cycle 加速周期 accelerating electrode 加速电极 accelerating grid 加速栅极 accelerating period 加速周期 accelerating slit 加速缝 accelerating tube 加速管 accelerating wave 加速波 acceleration of charged particles 带电粒子加速 acceleration space 加速空间 acceleration voltage 加速电压 accentuation 加重 acceptable contrast ratio 较佳对比度 acceptor 受主 acceptor atom 受汁子 acceptor center 受中心 acceptor density 受周度 - 10 - acceptor impurity 受钟质 acceptor level 受周级 acceptor type semiconductor 受滞半导体 access code 存取码 access method 访问方法 accommodation 适应性第 accommodation coefficient 第系数 accumulation layer 累积层 accuracy 准俑 accuracy in reproduction 再生精确度 accurate positioning 精确定位 ace 改进型专用射极耦合逻辑 achromatc region 消色差区 achromatic lens 消色差透镜 achromatic threshold 消色差阈值 acicular crystal 针状结晶 acid etch 酸腐蚀 acorn tube 橡实管 acoustic absorptivity 吸声系数 acoustic filter 滤声器 acoustic frequency 声频 acoustic image 声像 acoustic impedance 声阻抗 acoustic labyrinth 声迷路 acoustic manipulated recorder 声动录音机 acoustic surface waves 声面波 acoustic transducer 声能转换器 acoustic treatment 声学处理 acoustic wave 音波 acoustic wave oscillator 表面声波振荡器 acoustical delay line 声延时线 acoustical feedback 声反馈 acoustical holography 声全息术 acoustical image 声像 acoustics 声学 acoustoelectric transducer 声 电变换器 acoustooptical deflector 声光偏转器 acoustooptical interaction 声光酌 acoustooptical modulator 声光灯器 acoustooptical phenomenon 声光现象 acoustooptical q switch 声光 q 开关 acquisition 捕获 acquisition and tracking radar 搜送跟踪雷达 acquisition probability 目标探测概率 action radius 酌半径 activated cathode 活化阴板 activated molecule 活化分子 activation 激活 activation energy 激活能 activator 激活剂 active area 有源区 active component 有效分量 active counter measures 积极干扰 active dust 活性粉尘 active element 有源元件 active element group 有源元件组 active fiber 活性纤维 active filter 有源滤波器 active guidance 织制导 active homing guidance 织寻的制导 active jamming 积极干扰 active laser element 有源激光元件 active laser substance 激光皮捉质 active liquid 活性液体 active mode locking 有源波模同步 active parasitics 有源寄生元件 active q switching 有源 q 开关 active redundancy 有源备份 active repeater 有源中继器 active return loss 有源四端网络的反射损耗 active satellite repeater 有源卫星转发器 active substrate 有源衬底 active substrate trimming 有源衬底蝶 actuator 传动机构 acuity 锐度 adaptability equalizer 适应性均衡器 adaptation 适应 adapter 转接器 adapter coupling 套筒式联轴器 adaptive correlator 自适应相关器 adaptive delta modulation 自适应增量灯 adaptive receiver 自适应接收机 adatom 吸附原子 adc 模拟数字转换器模数转换器 adcock antenna 爱德考克天线 adcock direction finder 爱德考克测向仪 add ons 附加元件 added component 附加元件 adder 加法电路 additive color mixture 加色法混合 additive color synthesis 加色法合成 additive color system 加色法系统 - 11 - additive mixing 加色法混合 additive mixing of primaries 基色的相加混合 additive primaires 加色法原色 additive printed circuit technique 印刷电路的添 加技术 additive process 加色法 addressing system 寻址系统 adherence 附着 adhesion 附着 adhesion strength 粘着强度 adhesive 粘合剂 adhesive coating 粘合剂涂敷 adhesive die attachment 芯片粘附 adhesive sealing 胶粘密封 adhesive tape 粘合带 adjacent audio carrier 邻道伴音载波 adjacent channel 相邻信道 adjacent channel attenuation 邻信道衰减 adjacent channel interference 邻信道干扰 adjacent channel rejector 邻频道抑制器 adjacent channel selectivity 相邻信道选择性 adjacent lines 相邻线路 adjacent picture carrier 邻信道图象载波 adjacent picture carrier spacing 相邻图象载波间 隔 adjacent picture carrier trap 邻频道图象载波陷 波器 adjustable attenuator 可单减器 adjustable short 可堤路 adjustable threshold mos 可敌值金属氧化物半 导体 adjustment 蝶 admittance 导纳 admittance matrix 导纳矩阵 admixture 混合物 adsorbate 吸附质 adsorbent 吸附剂 adsorption 吸附 adsorption layer 吸附层 adulterated semiconductor material 低劣半导体 材料 advance angle 超前角 advanced customized ecl 改进型专用射极耦合 逻辑 advanced low power schottky ttl 改进型低功耗 肖特基晶体管晶体管逻辑电路 advanced polysilicon self aligned process 改进型 自对准多晶硅栅工艺 advanced schottky transistor logic 改进型肖特基 晶体管逻辑电路 advanced schottky ttl 改进型肖特基晶体管晶体 管逻辑电路 aeg 有源元件组 aerial 天线 aerial array 天线阵 aerial attenuator 天线衰减器 aerial cable 天线电缆 aerial capacity 天线电容 aerial choke 天线扼力 aerial circuit 天线电路 aerial coupling 天线藕合 aerial coupling coil 天线藕合线圈 aerial current 天线电流 aerial efficiency 天线效率 aerial excitation 天线激励 aerial feed 天线馈电 aerial feeder 天线馈线 aerial impedance 天线阻抗 aerial inductance 天线电感 aerial lead in 天线引入线 aerial lens 透镜天线 aerial loss 天线损耗 aerial noise 天线噪声 aerial power 天线功率 aerial radiation resistance 天线辐射电阻 aerial reactance 天线电抗 aerial resistance 天线电阻 aerial system 天线系统 aerial terminal 天线接头 aerial tower 天线杆 aerial tuning capacitor 天线党电容器 aerial tuning coil 天线党线圈 aerial tuning condenser 天线党电容器 aeroelectronic 航空电子学的 aeroelectronics 航空电子学 aerogram 无线电报 aeronautical station 导航电台 aeroplane antenna 飞机天线 aeroplane flutter 飞机反射的干扰信号 aes 俄歇电子能谱学 af 音频 affinity 亲合力 - 12 - after acceleration 后加速 afterglow 余辉 afterimage 余像 aftertreatment 后处理 ageing 老化 ageing condition 老化条件 aggregate 集聚 aggregation 集聚 aging 老化 ai 雪崩注入 aid 雪崩注入二极管 aided tracking 半自动跟踪 aim 雪崩感生徙动 aimic 空气隔离型单片集成电路 air bearing stage 空气轴承台 air clearance 空隙 air cooled laser 空气冷却式激光器 air ground communication 空对地通信 air isolation 空气隔离 air isolation integrated circuit 空气隔离型集成电 路 air isolation monolithic ic 空气隔离型单片集成 电路 air isolation process 空气隔离型集成工艺 air leak 漏气 air oxide isolation 空气 氧化物隔离 air position indicator 空中位置指示器 air purge 吹气清洗 air to surface vessel radar 侦察海面舰艇的飞机 监视雷达 air track 风动传送设备 airborne beacon 飞机无线电信标 airborne contamination 空传污染 airborne radar 机载雷达 airborne television receiver 机载电视接收机 aircraft antenna 飞机天线 aircraft interception radar 拦截飞机雷达 aircraft station 飞机电台 airgap 空隙 airport beacon 机场信标 airport danger beacon 机场危险信标 airport hazard beacon 机场危险信标 airport traffic control 机场交通控制 airtight joint 气密接合 ajt 磨料喷射蝶 alarm signal 报警信号 albedo 反照率 albedo measurement 反射率测量 ald 自动逻辑设计 alford loop antenna 阿尔福德环形天线 alg 铝栅 algorithm 算法 aligner 对准器 alignment 定位 alignment accuracy 对准精度 alignment error 对准误差 alignment laser 蝶用激光器 alignment machine 对准器 alignment mark 对准标记 alignment pin 定位锁 alignment registration 精密对准 alignment requirements 校准要求 alkali antimonide 碱金属锑化物 alkali free environment 无碱环境 alkaline strippable resist 由碱可除光刻胶 all band tv tuner 全波段电视党器 all diffused integrated circuit 全扩散型集成电路 all mains receiver 交直两用接收机 all weather radar 全天候雷达 allotter 分配器 allowed band 容许能带 allowed level 容许能级 allowed line 容许线 allowed transition 容许跃迁 alloy 合金 alloy film 合金薄膜 alloy junction 合金结 alloy junction transistor 合金结晶体管 alloy transistor 合金晶体管 alloyed contact 合金接触 alloyed diode 合金型二极管 alloyed junction 合金结 alloyed region 合金区域 alloyed transistor 合金晶体管 alpha 接字母顺序的 alpha immunity 抗射线性 alpha particle bombardment 粒子轰击 alpha particle protection 粒子保护 alpha radiation sensitivity 粒子辐射灵敏度 alpha scintillation counter 粒子闪烁计数器 alphabetic 接字母顺序的 alphanumeric printing tube 字符印字管 - 13 - alphatron 管 alsttl 改进型低功耗肖特基晶体管晶体管逻辑 电路 alternate route 更替路由 alternate routing 更替路由 alternate scanning 隔行扫描 alternating current 交流 alternating current measurement 交菱量 alternating current resistance 交羚阻 alternating supercurrent 超导交流 altimeter 高度计 altitude indicator 高度指示器 alu 算术与逻辑部件 alumina 氧化铝 alumina ceramic scribing 氧化铝陶瓷板划线 alumina package 氧化铝管壳 aluminizing 铝金属化 aluminosilicate glass 铝硅酸盐玻璃 aluminum bonding 铝线热压焊 aluminum gate 铝栅 aluminum metallization 铝金属化 aluminum oxide ceramics 氧化铝陶瓷 aluminum spiking 铝尖峰形成 aluminum step coverage 氧化物阶梯的铝覆盖 aluminum wire bond 铝线热压焊接 am 爹 am receiver 爹收音机 am reception 爹接收 amateur 爱好者 amateur band 业余波段 amateur radio station 业余无线电台 amateur station 业余无线电台 ambient air monitor 环境空气监测器 ambient enviroment 周围环境 ambient humidity 环境湿度 ambient pressure 环境压力 ambient temperature 周围介质温度 ambiguity 非单值性 ambipolar diffusion 双极性扩散 ammonia maser 氨微波激射器氨脉泽 ammonium molecular beam frequency standard 铵分子束频率标准 amorphization 无定形化 amorphous crystalline transition 非晶态晶态转 变 amorphous implantation 对非晶半导体离子注入 amorphous semiconductor 非晶半导体 amorphous semiconductor device 非晶半导体掐 amorphous state 非晶形状态 amorphous structure 无定形结构 amount of information 信息量 amplification 放大 amplification constant 放大系数 amplification factor 放大系数 amplification linewidth 放大谱线宽 amplifier 放大器 amplifier band 放大屁频带 amplifier noise 放大齐声 amplifier stage 放大级 amplify 放大 amplifying klystron 放大速弟 amplifying transition 放大跃迁 amplifying tube 放大管 amplitron 特高频功率放大管 amplitude 酌距离 amplitude correction 幅度校正 amplitude discriminator 鉴幅器 amplitude distortion 振幅失真 amplitude error correction 振幅误差校正 amplitude excursion 振幅偏移 amplitude frequency distortion 振幅 频率失真 amplitude frequency response 振幅频率响应 amplitude limiter 限幅器 amplitude limiter circuit 限幅歧路 amplitude modulated transmitter 爹发射机 amplitude modulated vhf transmitter 爹甚高频发 射机 amplitude modulation 爹 amplitude modulation monitor 爹监视器 amplitude modulation noise 爹噪声 amplitude modulation suppression 爹抑制 amplitude modulator 爹器 amplitude of videosignal 视频信号振幅 amplitude range of videosignal 视频信号振幅范 围 amplitude response 振幅特性曲线 amplitude stabilized laser 振幅稳定激光器 analog 模拟的 analog array 模拟阵列 analog chip 模拟集成电路 analog computer 模拟计算机 analog digital converter 模拟数字转换器模数转 - 14 - 换器 analog digital network 模拟 数字网络 analog electronics 模拟电子学 analog information 模拟信息 analog integrated circuit 模拟集成电路 analog microelectronics 模拟微电子学 analog modulation 模拟灯 analog network 模拟网络 analog phase shifter 模拟移相器 analog recording 模拟记录 analog signal 模拟信号 analog switch 模拟开关 analog to digital converter 模拟数字转换器模数 转换器 analogue 模拟的 analogue amplifier 模拟放大器 analogue computation 模拟计算 analogue computer 模拟计算机 analogue multiplier 模拟式乘法运算器 analyzer 分析器 and circuit 与电路 and element 与元件 and gate 与电路 and nor gate 与 或非门 and operation 与操作 and or circuit 与或电路 anechoic room 无回声室 angle lap 磨角 angle modulation 角灯 angle of beam deflection 射束偏转角 angle of divergence 发散角 angle of elevation 仰角 angle of groove inclination 槽倾斜角 angle of incidence 入射角 angle of inclination 倾斜角 angle of lead 超前角 angle of light incidence 光入射角 angle of radiation 辐射角 angle to digit converter 角度 数字变换器 angle tracking 角跟踪 angled ion implantation 倾斜离子注入 angular distribution 角分布 angular resolution 角分辨率 anion 阴离子 anion resin 阴离子交换尸 anisotropic etch 蛤异性腐蚀 anisotropic etch profile 蛤异性腐蚀断面图 anisotropic etch property 蛤异性腐蚀性质 anisotropic etchant 蛤异性腐蚀剂 anisotropic etching 蛤异性腐蚀 anisotropic material 蛤异性材料 anisotropy 蛤异性 annealer 退火炉 annealing 热处理 annealing activation 退火杂质活化 annealing cap 退火覆盖层 announcer 广播员 annular blade 环形锯片 annular contact 环形接触 annular cutting 环形锯片切割 annular resistor 环状电阻器 annular rotary joint 环状旋转接头 annular saw 环形锯 annular slot 环状缝隙 anode 阳极 anode characteristic 阳极特性 anode dark space 阳极暗区 anode detection 阳极检波 anode dissipation 屏极耗散 anode efficiency 阳极效率 anode etching 阳极电解腐蚀 anode follower 屏极输出器 anode glow 阳辉光 anode grid capacity 阳极 栅极电容 anode load 屏极负载 anode neutralization 阳极中和 anode oxidation 阳极氧化 anode rays 阳极射线 anode rectification 屏极检波 anode resistance 阳极电阻 anode sputtering 阳极溅射 anode stopper 阳极寄生振荡抑制器 anode supply 阳极电源 anode terminal 阳极端子 anode voltage 阳极电压 anodic 阳极的 anodic oxidation 阳极氧化 anodization 阳极处理 anomalous crystal growth 异常晶体生长 answering cord 应答塞绳 answering device 应答装置 answering plug 应答塞 - 15 - antenna 天线 antenna amplifier 天线放大器 antenna array 天线阵 antenna attenuator 天线衰减器 antenna booster 天线放大器 antenna cable 天线电缆 antenna capacitor 天线电容器 antenna capacity 天线电容 antenna change over switch 天线转换开关 antenna choke 天线扼力 antenna circuit 天线电路 antenna connection 天线接线 antenna coupling 天线藕合 antenna coupling condenser 天线耦合电容器 antenna current 天线电流 antenna effect 天线效应 antenna efficiency 天线效率 antenna element 天线元件 antenna emf 天线电动势 antenna excitation 天线激励 antenna feed 天线馈电 antenna feed impedance 天线输入阻抗 antenna feeder 天线馈线 antenna field gain 天线场强增益 antenna grounding switch 天线接地开关 antenna height above average terrain 平均地表面 上天线高度 antenna impedance 天线阻抗 antenna inductance 天线电感 antenna lead 天线引线 antenna lead in 天线引入线 antenna lens 透镜天线 antenna loss 天线损耗 antenna noise 天线损耗 antenna power 天线功率 antenna radiation resistance 天线辐射电阻 antenna reactance 天线电抗 antenna resistance 天线电阻 antenna socket 天线插座 antenna system 天线系统 antenna terminal 天线接头 antenna tilt 天线仰角 antenna tower 天线杆 antenna tuning capacitor 天线党电容器 antenna tuning coil 天线党线圈 antenna tuning condenser 天线党电容器 anteunator cable 天线衰减器 anti collision device 防撞雷达 anti induction network 消感网络 anti oxidation layer 抗氧化层 anti transmit receive switch 天线收发转换开关 anticathode 对阴极 anticipating signal 预告信号 anticoincidence circuit 反符合电路 antifading antenna 抗衰落犬线 antifading device 抗衰落装置 antiferroelectric 反铁电体 antihunt circuit 阻厄电路 antijam receiver 抗干扰接收机 antijamming unit 抗干扰装置 antimony 锑 antinoise 抗噪声 antiradar 反雷达 antiradar missile 反雷达导弹 antiradiation missile 反雷达导弹 antiradiolocation 反雷达学 antisatellite missile 反卫星导弹 antistatic agent 防静电剂 antistatic aids 防静电设备 antistatic assembly 防静电组装 antistatic bag 防静电袋 antistatic gloves 防静电手套 antistatic mask blank 防静电掩模底版 antistatic station 防静电台 antistatic surface treatment 防静电表面处理 antistatic tool 防静电工具 antistockes line 反斯托克斯线 antitrans mit receive box 收发开关盒 apcvd 常压化学汽相淀积 aperiodic antenna 非党天线 aperiodic circuit 非周期电路 aperture 口径 aperture angle 张角 aperture compensation 孔径失真补偿 aperture coupling 孔径耦合 aperture distortion 孔径失真 aperture equalization 孔径均衡 aperture lens 针孔透镜 aperture loss 孔径损失 aperture mask 多孔障板 aperture mirror 孔镜 aperture stop 孔径光阑 - 16 - appearance inspection 外观检查 apple tube 苹果彩色显象管 applicator 敷料器 applied holography 应用全息照相术 approach beacon 进场信标 approach control 进场管理 approach control radar 进场指挥雷达 apsa 改进型自对准多晶硅栅工艺 aption circuit 吸收电路 aqueous cleaner 水清洗器 aqueous processing 水处理 arc 弧 arc cathode 电弧阴极 arc lamp pumping 弧光灯激励 arc plasma 电弧等离子体 arcback 逆弧 architecture 构造 arcing 电弧放电 arcotron 显光管 area code 区域码 area imager 面积型成像机 argon 氩 argon laser 氩激光器 arithmetic and logic unit 算术与逻辑部件 arithmetical unit 运算装置 arm 异步应答方式 armstrong oscillator 盗丹振荡器 arrangement 排列 array 阵列 array chip 阵列式芯片 array device 阵列集成电路 array integrated circuit 阵列集成电路 array layout 阵列布图 array logic 阵列逻辑 array memory 存贮企列 array patterning 阵列图象形成 array pitch 阵列间距 array processing 阵列处理 array structure 阵列结构 arrival current 输入电流 arsenic 砷 arsenic doped emitter 掺砷发射极 arsenic doped epi 掺砷外延层 arsenic doping 砷掺杂 arsenic spin on solution 涂布的砷溶液 arsenide 砷化物 articulation 可听清晰度 artificial aerial 假天线 artificial ageing 人工老化 artificial antenna 仿真天线 artificial black signal 黑电平测试信号 artificial delay line 仿真延迟线 artificial echo 假回波 artificial electronic eye 人造电子眼 artificial hologram 仿真全息图 artificial line 仿置线 artwork 原图 artwork checking tool 版图检查工具 artwork design 原图设计 artwork generation 图形发生 artwork generator 图形发生器 artwork knife 原图制备刀 artwork master 照相底图 aru 更替路由 as ttl 改进型肖特基晶体管晶体管逻辑电路 asdic 超声波水下探测器 aspect ratio 长宽比 asperity 微观粗糙度 assembler 汇编程序;装配器 assembly 组装 assembly defect 组装错误 assembly drawing 装配图 assembly equipment 装配设备 assembly facilities 装配设备 assembly fixture 装配夹具 assembly room 装配室 assembly station 组装台 assembly yield 组装成品率 assigned frequency 分配频率 assistating current magnetic biasing 交莲磁 associative memory 相联存储器 ast 有源衬底蝶 astable 非稳态多谐振荡器 astable circuit 非稳定电路 astable multivibrator 非稳态多谐振荡器 astigmatism 像散现象 astl 改进型肖特基晶体管逻辑电路 astrionics 天文电子学 astronics 天体电子学 astronomical maser 天体脉泽 astronomical navigation 天文航海 astrophysical maser 天体脉泽 - 17 - asymmetric amplitude modulation 非对称振幅灯 asymmetric sideband transmission 不对称边带传 送 asymmetrical deflection 不对称偏转 asynchronous operation 异先操作 asynchronous response mode 异步应答方式 asynchronous transmission 异步传输 at cut crystal at 切割晶体 ate 自动测试设备 atmos 可敌值金属氧化物半导体 atmosphere 大气 atmosphere model 大气模型 atmospheric absorption 大气吸收 atmospheric absorption band 大气吸收带 atmospheric attenuation 大气衰减 atmospheric duct 大气波导 atmospheric electric field 大气电场 atmospheric guide 大气波导管 atmospheric model 大气模型 atmospheric pressure chemical vapor deposition 常压化学汽相淀积 atmospheric pressure laser 大气压激光器 atmospheric transmission band 大气透射带 atmospheric transmittance 大气透射系数 atmospheric wave 天波 atmospheric window 大气窗 atmospherics 大气干扰 atomic absorption spectrophotometry 原子吸收 分光光度法 atomic beam frequency standard 原子束频率标 准 atomic beam laser 原子束激光器 atomic clock 原子钟 atomic frequency standard 原子频率标准 atomic hydrogen 原子氢 atomic impurity 原子型杂质 atomic ionization 原子电离 atomic laser 原子激光器 atomic linewidth 原子谱线宽度 atomic nucleus 原子核 atomic time standard 原子时标准 ats 自动测试系统 attached device 附加元件 attainable resolution 可达清晰度 attenuation 衰减 attenuation constant 衰减常数 attenuation pad 衰减器 attenuator 衰减器 audibility 听能听能 audio 听觉的 audio amplifier 声频放大器 audio carrier 伴音载波 audio center frequency 伴音中心频率 audio channel 伴音通道 audio frequency 音频 audio frequency amplification 声频放大 audio frequency amplifier 声频放大器 audio frequency band 音频频带 audio frequency choke 音频扼力 audio frequency generator 音频发生器 audio frequency meter 音频频率计 audio frequency peak limiter 音频峰值限幅器 audio frequency transformer 音频变压器 audio level indicator 音频电平指示器 audio mixer 音频混频器 audio output unit 音频回音装置 audio range 音频范围 audio response unit 音频回音装置 audio signal 音频信号 audio spectrum 音频频谱 audio spectrum analyzer 音频频谱分析器 audio track 声道 audio transmitter 音频发射机 audiogram 听力图 audiometer 听力计 audiometry 测听技术 audion 三极检波管 audit 检查 audition 听能听能 auger electron 俄歇电子 auger electron emission 俄歇电子发射 auger electron spectroscopy 俄歇电子能谱学 auger microprobe 俄歇微探针 auger recombination 俄歇复合 auger spectrometer 俄歇能谱仪 auger transition 俄歇跃迁 aural 听觉的 aural radio range 无线电导航有声信标 aural reception 收听 aural transmitter 伴音发射机 aurora 极光 aurora australis 南极光 - 18 - aurora borealis 北极光 autage 故障 authentication 确认 autoacceleration 自动加速 autocompensator 自动补偿器 autocontrol 自动控制 autocorrelation 自相关数 autocyne reception 自差接收法 autodoping 自掺杂 autodyne 自差 autodyne receiver 自拍接收机 autoindexing 自动标引 automask aligner 自动掩模对准器 automated design 自动设计 automatic answering device 自动应答装置 automatic balance of contrast and brightness 对比 度与亮度自动平衡 automatic black level circuit 自动黑电平电路 automatic black level control 自动黑电平控制 automatic check 自动检验 automatic chrominance control 自动色度蝶 automatic component handler 自动元件装卸装 置 automatic computer 自动计算机 automatic computing machine 自动计算机 automatic contrast control 对比度自动蝶 automatic control 自动控制 automatic control system 自动控制系统 automatic degaussing 自动消磁 automatic exchange 自动电话交换机 automatic focusing 自动聚焦 automatic frequency control 自动频率控制 automatic frequency control characteristic 自动频 率控制特性 automatic gain control 自动增益控制 automatic grid bias 自偏压 automatic hue control 自动色地制 automatic indexing 自动标引 automatic insertion 自动插入 automatic layout technique 自动布图技术 automatic line phasing 自动行同步 automatic logic design 自动逻辑设计 automatic long distance service 直接长途拨号 automatic monitor 自动监视器 automatic picture stabilization 自动图象稳定控 制 automatic regulation 自动控制 automatic router 自动定线器 automatic stop 自动停机 automatic subcarrier balance control 自动副载波 平衡控制 automatic telegraphy 自动电报 automatic telephone exchange 自动电话交换局 automatic telephone switching system 自动电话 交换系统 automatic test equipment 自动测试设备 automatic test system 自动测试系统 automatic transmitter 自动发射机 automatic tuning 自动党 automatic vacuum deposition system 自动真空淀 积系统 automatic video noise limiter 自动视频杂波限制 器 automatic white control 自动白电平控制 automobile radio 汽车收音机 automobile telephone 汽车电话 autoregistration 自对准 autoregulation 自动控制 auxiliary anode 辅助阳极 auxiliary grid 辅助栅极 auxiliary jack 辅助塞孔 auxiliary memory 辅助存储器 auxiliary signal 辅助信号 auxiliary transmitter 备份发射机 available power 可用功率 avalanche 电子雪崩 avalanche action 雪崩酌 avalanche breakdown 雪崩哗 avalanche breakdown voltage 雪崩哗电压 avalanche diode 雪崩二极管 avalanche induced migration 雪崩感生徙动 avalanche injection 雪崩注入 avalanche injection diode 雪崩注入二极管 avalanche injection stacked gate mos 雪崩注入多 层栅金属氧化物半导体 avalanche ionization 雪崩电离 avalanche multiplication 雪崩倍增 avalanche multiplication factor 雪崩倍增系数 avalanche noise 雪崩噪声 avalanche photodetector 雪崩光电探测器 avalanche photodiode 雪崩光电二极管 avalanche transistor 雪崩晶体管 - 19 - avalanche transit time diode 雪崩渡越时间二极 管 avalanche transit time oscillator 雪崩渡越时间二 极管振荡器 avds 自动真空淀积系统 average brightness 平均亮度 average picture level 平均图象电平 averaging 求平均数 avionics 航空电子学 axial betatron oscilations 轴向电子感应加速岂 荡 axial etch nonuniformity 轴向腐蚀不均匀性 axial flow resnatron 轴向通量分米波超高功率 四极管 axial injection 轴向注入 axial lead 轴心线 axial lead former 轴向引线成形设备 axial stability 轴向稳定性 axially excited laser 轴向激励激光器 axis of a waveguide 波导管轴 azimuth 方位角;方位角 azimuth accuracy 方位角精度 azimuth adjustment 方位蝶 azimuth drive 方位角驱动 azimuth elevation indicator 方位角 仰角指示器 azimuth loss 方位角损失 azimuth resolution 方位角分辨率 azimuthal bunching 方位角群聚 b eliminator 屏极电源整流 back bias 反偏压 back biased diode 反偏二极管 back bonding 倒装键合 back contact 后触点 back diffusion 反向扩散 back edge 下降边 back end processing 后端处理 back gate mos 反向栅偏置金属氧化物半导体 back lobe 后瓣 back porch 后肩 back porch clamping 后沿箝位 back resistance 反向电阻 back surface field 背面电场 back to back diodes 背对背二极管 back wave 返波 backboard 后面板 backbonded chip 倒装芯片 backfill 反填充 backfire 逆弧 background 背景 background color 背景色 background control 背景亮度控制 background fade in 背景淡入 background fade out 背景淡出 background limited photodetector 背景限制光电 检测器 background noise 背景噪声 background noise suppression 背景噪声抑制 background radiation 背景辐射 background suppression 背景噪声抑制 background wave 基准波 backing pumpe 前级泵 backpanel 后面板 backplane 后面板 backscatter 背面散射 backscatter factor 背面散射因数 backscattered radiation 背面散射辐射 backside 背面 backup 储备 backwall solar cell 后壁太阳电池 backward conductance 反向电导 backward diode 反向二极管 backward wave 反向波 backward wave amplifier 返波放大器 backward wave oscillator 回波振荡器 backward wave tube 回波管 bacteria free water 无菌水 bake 热处理 bakeout 烘烤 balance 平衡 balanced aerial 对称天线 balanced amplifier 平衡放大器 balanced antenna 对称天线 balanced cable 对称电缆 balanced circuit 平衡电路 balanced input 对称输入 balanced load 对称负载 balanced mixer 平衡混频器 balanced modulator 平衡灯器 balanced oscillator 平衡振荡器 balanced transformer 平衡变压器 balanced unbalanced transformer 平衡 不平衡变 换器 - 20 - ball bond 球焊 ball bonder 球形焊接器 ball bonding 球焊 ballast resistor 镇羚阻器 ballast tube 镇淋 ballistic heterostructure 弹道异质结构 ballistic transistor 弹道晶体管 ballistic transport 弹道传递 ballometry 雾粒电荷测定法 balls down chip 球形引线倒装芯片 balun 平衡 不平衡变换器 banana plug 香蕉插头 band 带 band bending 能带弯曲 band crossing 能带相交 band edge 带边沿 band edge curvature 能带边缘弯曲 band elimination filter 带阻滤波器 band gap 能带隙 band gap narrowing 禁带收缩 band limits 频带限制 band pass 通带 band pass amplifier 带通放大器 band pass crystal filter 带通晶体滤波器 band pass filter 带通滤波器 band reject filter 带阻滤波器频带展觉系数 band scheme 能带图式 band sharing 频带共用 band stop filter 带阻滤波器频带展觉系数 band structure 能带结构 band to band recombination 带间复合 band to band transition 带间跃迁 bandspread 频带展宽 bandwidth 带宽 bandwidth compression 频带宽压缩 bantam tube 小型管 bare board 空板 bare chip 裸片 bare hybrid 裸露混合电路 bare semiconductor 裸露半导体 baritt diode 势垒注入渡越时间二极管 baritt oscillator 势垒注入渡越时间二极管振荡 器 barium 钡 barrage jamming 阻塞干扰 barrel distortion 桶形失真 barrel reactor plasma etching 圆筒形反应期等离 子腐蚀 barrel type reactor 圆筒形反应器 barretter 稳淋 barrier 势垒 barrier capacitance 阻挡层电容 barrier height 势垒高度 barrier junction 势垒结 barrier layer 阻挡层 barrier layer rectifier 结型整流 barrier potential 势垒电位 barrier type ccd 势垒型电荷耦合掐 base 基极 base bias 基极偏压 base centered lattice 底心晶格 base collector junction 基极集电极秸 base current 基极电流 base diffusion 基极扩散 base diffusion isolation 基极扩散隔离 base diffusion window 基极扩散窗 base electrode 基区电极 base emitter diode 基极发射极二极管 base emitter junction 基极发射极结 base impurity 基区杂质 base insert 隐埋基极 base lifetime 基区载劣寿命 base material 基体材料 base metal cermet 贱金属陶瓷 base metal paste 贱金属膏 base metal resistor 贱金属电阻 base region mask 基区形成掩模 base ring 基区环 base sidewall 基极侧壁 baseband 基带 baseband signal 基带信号 basic approach 基本方法 basic building block 基本构件 basic circuit 基本电路 basic group 基本群 basic process 基准工艺 basic technology 基本工艺 bass boosting circuit 低音增强电路 bat wing antenna 蝙蝠翼天线 batch 一批 batch bonding 成批焊接 batch etching 成批腐蚀 - 21 - batch fabrication 成批生产 batch method 分批处理法 batch operation 分批操作 baud 波特 baudot code 博条码 baw 体声波 bbb 基本构件 bbd 斗链式掐 bbsr 斗链式移位寄存器 bc cut quartz bc 截割石英片 bccd 埋沟电荷耦合掐 bcmos 埋沟金属氧化物半导体 bdi 基极扩散隔离 beacon 信标灯塔 beacon approach 信标引导进场 beacon course 无线电导标的航线 beam 光束 beam alignment 射束蝶 beam antenna 定向天线 beam aperture 射束孔径 beam attenuation 束衰减 beam axis 射束轴 beam charge neutralization 射束电荷中和 beam collimation 束良直 beam convergence 射束会聚 beam coupling 电子束耦合 beam cross section 束霖面 beam crystallized polysilicon 射束结晶的多晶硅 beam current 射束电流束电流电子束电流 beam deflection 射束偏转 beam deflection tube 射束偏转管 beam density 束淋度 beam diameter 束帘径 beam divergence 射束发散 beam divergence angle 束立散角 beam divider 射束分离器 beam dividing 射束分割 beam division 射束分割 beam energy 射束能量 beam focusing 束聚焦 beam jitter 束摆动 beam lead 梁式引线 beam lead assembly 梁式引线组件 beam lead bonding 梁式引线键合 beam lead chip 梁式引线芯片 beam lead crossover 梁式引线交叉 beam lead device 梁式引线掐 beam lead integrated circuit 梁式引线集成电路 beam lead isolation 梁式引线隔离 beam matching 束隶配 beam modulation 射束灯 beam monitoring 束拎测 beam of particles 粒子束 beam path 束路径 beam phasing 射束相位蝶 beam positioner 电子束位置控制器 beam power tube 束射功率管 beam power valve 束射功率管 beam processing 电子束加工 beam propagation 光束传播 beam propagation path 光束传播轨迹 beam rider missile 驾束式导弹 beam riding missile 驾束式导弹 beam scanning 束扫描 beam self focusing 射束自聚焦 beam shape 射束形状 beam shaping 射束成形 beam splitter 光束分离器 beam splitter mirror 光束分裂镜 beam splitting 束分裂 beam splitting reflector 光束分离反射器 beam spot 电子束光点 beam spreading 束散 beam stabilization 射束稳定 beam switching 射束转换 beam switching tube 射束开关管 beam tape automated assembly 梁式引线带自动 组装 beam tape automated bonder 梁式引线带自动焊 接器 beam tape packaging 梁式引线带封装 beam tape technology 梁式引线带组装技术 beam tetrode 电子束四极管 beamwriter 电子束描图装置 beamwriter lithography 电子束光刻 bearing 方位 bearing error 方位误差 bearing zone 测向区 beat frequency 拍频 beat frequency oscillator 拍频振荡器 beat frequency receiver 拍频接收机 beats 差拍振动 - 22 - bef 带阻滤波器频带展觉系数 bell jar 钟形罩 bellows 波纹管 bellows joint 波纹管连接 belt feed 带式进料 belt feeder 带式进料器 belt furnace 带式炉 bench 工专 bend 弯曲 bend coupling 弯曲耦合 bent aerial 曲折天线 bent antenna 曲折天线 bent lightguide 弯曲光波导 bent rhombic antenna 曲折菱形天线 ber 比特误差率 beryllia 氧化铍 beryllium 铍 beryllium ceramics 氧化铍陶瓷 beta current gain 电瘤益 beta degradation 值递降 beta radiation 线辐射 beta tolerance 耐射线性 betatron 电子感应加速器 betatron frequency 电子感应加速频率 betatron orbit 电子感应加速旗道 betatron oscillations 电子感应加速岂荡 bevatron 高能质子同步稳向加速器 beverage aerial 行波天线 beverage antenna 贝佛菜日天线 bfo 拍频振荡器 bi etching system 双重腐蚀装置 bi fet amplifier 双极 场效应晶体管放大器 bi level structure 双电平结构 bias 偏置 bias battery 偏压电池 bias current 偏压电流 bias distortion 偏移失真 bias heat treatment 加偏压热处理 bias noise 偏压噪声 bias sputtering 偏压溅射 bias voltage 偏压 biasing characteristic 控制特性 biasing current 偏压电流 biconical antenna 双锥形天线 biconical horn 双锥形喇叭 bidirectional coupler 双向耦合器 bidirectional transducer 双向转换器双向传感器 bifet 双极结型场效应晶体管工艺 bifilar helix 双股螺旋 bifurcation 分岐 bigfet 双极 绝缘栅场效应晶体管集成电路 bilateral 双边的 bilateral antenna 双向天线 bilateral area track 双边面积灯声道 bilateral switch 双向开关 billboard array 平面反射片相多振子天线 billet 晶锭 billibit 千兆位 bimatron 电子束注入磁控管 bimetal mask 双金属掩模 bimos 双极金属氧化物半导体技术 binary counter 二进制计数器 binary data 二进制数据 binary digit 二进制数字 binary divider 二进制计数器 binary flip flop 二进制触发器 binary information 二进制信息 binary logic gate 二进制逻辑门电路 binary number 二进位数 binary scaler 二进制计数器 binary signal 二进制信号 binary unit 二进制单位 binder 粘合剂 binding agent 粘合剂 biologic solar cell 生物太阳能电池 biological integrated circuit 生物分子集成电路 biomagnetism 生物磁性 bionical horn 双圆锥形喇叭 bipolar approach 双极型技术 bipolar array 双极型阵列 bipolar bit slice 双极型位片微处理机 bipolar cell 双极单元 bipolar chip 双极集成电路 bipolar design 双极设计 bipolar driver 双极驱动器 bipolar fet integrated circuit 双极 场效应晶体管 集成电路 bipolar filter 双极型滤波器 bipolar input 双极型输入 bipolar insulated gate fet ic 双极 绝缘栅场效应 晶体管集成电路 bipolar integrated circuit 双极集成电路 - 23 - bipolar ion implantation 双极型掐用离子注入 bipolar isolation 双极集成电路单元隔离 bipolar junction fet technology 双极结型场效应 晶体管工艺 bipolar logic 双极型逻辑 bipolar mos device 双极金属氧化物半导体掐 bipolar mos technology 双极金属氧化物半导体 技术 bipolar operation 双极型动作 bipolar performance 双极型特性 bipolar process 双极工艺 bipolar sample hold 双极型取样保持集成电路 bipolar speed 双极集成电路速度 bipolar transistor 双极性晶体管 bipolar wafer 双极型晶片 bird's beak bonding 鸟嘴形键合法 birefringence 双折射 bisignal zone 等强信号区 bismuth 铋 bistability 双稳定性 bistable 双稳定的 bistable circuit 双稳电路 bistable laser 双稳态激光器 bistable multivibrator 双稳态多谐振荡器 bistable operation 双稳态工作 bistable optical device 双稳态光学掐 bistable unit 双稳态部件 bit 比特 bit error rate 比特误差率 bit error ratio 比特误差率 bit rate 位速率 bit slice 位片 bit slice architecture 位片结构 bit slice microprocessor 位片 bit synchronization 比特同步 bits per second 位秒 black and white channel 黑白通道 black and white holography 黑白全息照相术 black and white picture 黑白图象 black and white television 黑白电视 black body 黑体 black body radiation 黑体辐射 black clipping 黑色电平限幅 black compression 黑色信号压缩 black content 黑色含量 black level 黑色电平 black level clamping 黑电平箝位 black level shift 黑电平偏移 black out 熄灭 black out level 熄灭电平 black out of video signals 视频信号熄灭 black out pulse 熄灭脉冲 black out signal 熄灭信号 black peak 黑色峰值 black reference level 黑色基准电平 black saturation 黑色饱和 black signal 黑信号 black stretch 黑色信号展宽 black to white amplitude range 黑白间振幅宽度 black to white frequency swing 黑白间频率差 black white monitor 黑白图象监控装置 blade 刀片 blank 表格 blank groove 未调纹无声槽 blank plate 盲板 blanked videosignal 消隐视频信号 blanket diffusion 全面扩散 blanket exposure 全面曝光 blanking 熄灭 blanking amplifier 消隐脉冲放大器 blanking circuit 消隐电路 blanking level 消隐电平 blanking pulse 熄灭脉冲 blanking signal 消隐信号 bld 梁式引线掐 bleached hologram 漂白的全息图 bleeding 模糊不清 blemish 污迹 blind approach 盲目进场 blind area 静区 blind bonding 自动热压焊 blind sector 萤光屏阴影区 blind zone 静区 blinker light 闪光信闪 blip 尖头信号 blister 天线屏蔽器雷达天线罩 bloating 膨胀 block antenna 共用天线 block compiler 积木块版编辑器 block condenser 隔羚容器阻塞电容器 block construction 部件结构 block level 封锁电平 - 24 - block level design 积木块级设计 block replicate approach 单元重复方法 blocking 阻塞 blocking capacitor 隔羚容器阻塞电容器 blocking condenser 隔羚容器阻塞电容器 blocking junction 阻挡结 blocking layer 阻挡层 blocking mask 屏蔽掩模 blocking oscillator 间歇振荡器 blocking resistance 阻塞电阻 blocking signal 闭锁信号 blocking voltage 闭锁电压 blooming 模糊现象 blue adder 蓝色加法器 blue beam 蓝电子束 blue black level 蓝路黑电平 blue color difference modulator b y 灯器 blue color difference signal b y 信号 blue convergence circuit 蓝会聚电路 blue gain control 蓝色增益第 blue modulator 蓝色信号灯器 blue peak level 蓝信号峰值电平 blue shift 蓝向移位 blue video voltage 蓝色视频电压 blurred image 模糊图象 blurring 模糊不清 bmos 反向栅偏置金属氧化物半导体 bn source 氮化硼扩散源 board 板 board radio station 船内无线电台 boat 小舟 boat evaporator 小舟式蒸发器 body centred cubic lattice 体心立方晶格 body centred lattice 体心晶格 body centred orthorhombic lattice 体心斜方晶格 body effect 衬底效应 bolcking condenser 藕合电容器 bolometer 辐射热计 bolometer mount 热辐射计支架 bombardment 轰击 bombardment by ions 离子轰击离子冲击 bombardment induced conductivity 由电子轰积 致的电导 bombardment of electrons 电子轰击 bond 热压焊接 bond failure 结合损坏 bond interface 焊接界面 bond lift off 键合处剥离 bond pad definition 焊盘图象形成 bond peel 键合处剥离 bond pull test 键合牵引试验 bond sequence 键合工序 bond strength 粘合强度 bonder 热压焊接机 bonder capillary 焊接颇毛细管 bonding 焊接 bonding adhesive 芯片键合用粘合剂 bonding agent 粘合剂 bonding area 焊接区 bonding cycle 焊接周期 bonding fixture 焊接夹具 bonding layer 粘合层 bonding lead 焊接引线 bonding machine 热压焊接机 bonding pad 焊盘 bonding speed 焊接速率 bonding technique 焊接技术 bonding tip 焊头 bonding wire 焊线 bonding wire connection 焊线连接 booster 光放大器 booster amplifier 辅助放大器 booster diode 升压二极管 booster pump 增压泵 booster transmitter 辅助发射机 bootstrap amplifier 自益放大器 bootstrap circuit 自举电路 bootstrapping 自举电路 boron 硼 boron base 掺硼基极 boron depletion 掺硼耗尽 boron diffusion 硼扩散 boron doping 掺硼 boron implantation 硼离子注入 boron implanted silicon 注硼硅 boron nitride pellicle 氮化硼薄膜 boron semiconductor 掺硼半导体 borosilicate glass 硼硅玻璃 bottom of conduction band 导带底 bottomside mark 底面标记 boule 晶锭 boule ruby 红宝石梨晶 - 25 - bounce 标志大小的跳动 bouncing motion 图象跳动 bound charge 束缚电荷 bound modes 耦合方式 boundary 边界 boundary contrast 边界对比度 boundary defect 界面缺陷 boundary layer 边界层 boundary layer rectifier 阻挡层整流 boundary marker 边界指点标 boundary region 边界区 boundary surface 边界面 box 隐埋氧化物隔离工艺 box diffusion 箱式扩散 box horn 喇叭形天线 bpf 带通滤波器 bps 位秒 bpt 双极性晶体管 bragg reflection 布雷格反射 braided wire 编织线 branching lightguide 分支光波导管 branching off lightguide 光波导分路 braun tube 布老盾 brazing 钎焊 brazing flux 硬焊用焊剂 brazing preform 硬焊用盘料 breadboard 摸拟板 breadboard construction 模型结构 breadboarding 模拟板试验 breadth 幅度 break 破坏 breakdown 故障 breakdown current 哗电流 breakdown noise 哗噪声 breakdown plasma 气体放电等离子体 breakdown rating 额定哗电压 breakdown test 破坏试验 breakdown voltage 哗电压 breast microphone 胸挂送话器 breastplate microphone 胸挂送话器 bremsstrahlung radiation 制动辐射 brevity code 缓冲存储器 brewster window 布留斯特窗 bridge 电桥 bridge amplifier 桥式放大器 bridge arm 电桥比例臂 bridge circuit 桥联式电路 bridge douplex system 桥接双工制 bridged t filter 桥接 t 型滤波器 bridging 桥接 bridgman method 布里兹曼法 bridgman stockbarger method 布里兹曼 斯托克 巴杰法 bright finish 镜面抛光 brightening pulse 照闽冲 brightness 亮度 brightness control 亮度控制 brightness distribution 亮度分布 brightness signal 亮度信号 brightness variations 亮度变化 brillouin zone 布里渊区 broad band 宽频带 broad band absorber 宽带吸收器 broad band coupler 宽频带耦合器 broad pulse 帧同步脉冲 broadband loudspeaker 宽频带扬声器 broadband network 宽带网络 broadband noise 宽频带噪声 broadband stub 宽频带匹配段 broadband system 宽频带系统 broadband transmission 宽频带传输 broadcast receiver 广播收音机 broadcast reception 广播接收 broadcast studio 播音室 broadcast transmitter 广播发射机 broadcasting 广播 broadcasting antenna 广播天线 broadcasting emitter 广播发射机 broadcasting wave length 广播波长 broadening 扩展 broadside array 垂射天线阵 brush scrubber 刷子清洗装置 bsf 背面电场 bsg 硼硅玻璃 bt cut quartz bt 截割水晶 btab 链式隆起焊盘带自动焊接 bubble 磁泡 bubble domain 泡畴 bubble domain memory 磁泡存储器 bubble memory chip 磁泡存储凭片 bubble memory circuit 磁泡存储漂成电路 bubble tester 鼓泡试验器 - 26 - buck 标记 bucket 桶形电极 bucket brigade device 斗链式掐 bucket brigade memory 斗链式存储器 bucket brigade shift register 斗链式移位寄存器 buckling 弯曲 buffer 缓冲存储器 buffer amplifier 缓冲放大器 buffer gas 缓冲气体 buffer memory 缓冲存储器 buffer stage 缓冲级 buffer storage 缓冲存储器 buffer tube 缓冲管 buffer valve 缓冲管 buffered etch 缓冲腐蚀剂 buffered etching solution 缓冲腐蚀剂 bug 程序错 build up time 上升时间 building block 积木式部件 building block concept 积木式设计原理 building out capacitor 附加电容器 built in antenna 内装式天线 built in field 内建电场 built in self testing 内部自检 bulb 球管 bulk acoustic wave 体声波 bulk channel ccd 体沟道电荷耦合掐 bulk charge 体电荷 bulk cmos process 体效应互补金属氧化物半导 体工艺 bulk defect 体积缺陷 bulk effect ampifier 体效应放大器 bulk effect integrated circuit 体效应集成电路 bulk eraser 消磁器 bulk getter 容积收气剂 bulk lifetime 体内寿命 bulk loss 容积损失 bulk mis 体效应金属绝缘体半导体 bulk molding compound 块状模塑料 bulk photoconductive resister 大块光敏电阻器 bulk properties 体特性 bulk resistor 体积电阻器 bulk sputtering 容积溅射 bulk substrate 大块衬底 bump 隆起处 bump contact 隆起处 bump pad 隆起处 bump squash 隆起焊盘压扁 bumped chip 隆起焊盘型芯片 bumped chip carrier 隆起焊盘型芯片座 bumped component 隆起焊盘型元件 bumped tape 链式隆起焊盘带 bumped tape automated bonding 链式隆起焊盘 带自动焊接 bumping 隆起焊盘形成 bumping technology 隆起焊盘形成技术 bunch 束 bunch of particles 粒子束 buncher 群聚器 bunching 聚束 bunching mechanism 聚束机理 bundle 束 buried antenna 地下天线 buried channel ccd 埋沟电荷耦合掐 buried channel fet 埋沟场应晶体管 buried channel mos 埋沟金属氧化物半导体 buried channel transistor 埋沟晶体管 buried collector dopant 隐埋集电极用掺杂剂 buried layer 隐埋层 buried oxide 隐埋氧化物 buried oxide isolation process 隐埋氧化物隔离 工艺 buried region 隐埋区 burn in 超负荷试验 burning of microphone 微音瓶精的烧结 burr 毛刺 burst 色同步定向信号 burst amplifier 彩色同步信号放大器 burst controlled oscillator 色同步控制振荡器 burst flag generator 色同步选通脉冲发生器 burst locked oscillator 色同步锁定振荡器 burst phase 彩色同步信号的副载波相位 burst separator 色同步分离器 bus 母线 bus network 总线网 business telecommunication 商用通信 business telephone 商用电话 busy flash signal 占线信号 busy line 忙线 busy relay 占线继电器 busy test 占线测试 butt joint 对接 - 27 - butt welding 对顶焊接 butterfly circuit 蝴蝶形电路 button 电钮 button type dial 按钮式拨号盘 button type telephone dial 按钮式电话拔号盘 by pass capacitor 分羚容器 by pass condenser 分羚容器 bypass 旁路 bypass connection 旁路连接 bypass line 旁路线 http://www.wbw.com.cn/bbs/displayforum.asp?F= 28&P=2 c to c transport 盒对盒薄片转移 cable 电缆 cable code 水线电码 cable concentrator 集线器集中器 cable connector 电缆连接器 cable drum 电缆卷筒 cable duct 电缆槽 cable holder 电缆支架 cable installation 电缆敷设 cable interference 电缆干扰 cable joint 电缆接头 cable laying 电缆敷设 cable locator 电缆探测器 cable message 水线电报 cable set 电缆附件 cable shield 电缆包皮 cable television 电缆电视 cable terminating set 电缆终端 cable transmission 电缆传输 cablegram 水线电报 cad 计算机辅助设计 cad system 计算机辅助设计系统 cad technique 计算机辅助设计技术 cad tools 计算机辅助设计工具 cad workstation 计算机辅助设计工拙 cadmium 镉 cage antenna 笼形天线 cage dipole 笼形偶极子 calcination 烧成 calculagraph 计时器 calibration test 校准试验 call 呼叫 call acceptance 呼叫接受 call count 通话计数 call finder 呼叫选择器 call forward operation 呼叫转发电话 call forwarding 呼叫转发电话 call in operation 呼叫转发电话 call indicator 呼叫指示器 call sign 呼号 call signal 呼叫信号 call switch 呼叫转换开关 call waiting 得等待 call wire 呼叫线路 callback 回叫 called line 被叫线 calling cord 呼叫塞绳 calling device 呼叫装置 calling key 呼叫键 calling lamp 呼叫灯 calling machine 铃龙 calling plug 呼叫插塞 calling relay 呼叫继电器 calling subscriber 中用户 calorimetric power measurement 热量计式功率 测量法 camber 挠曲 camber free ceramics 无弯曲的陶瓷 camera 照相机;摄像机 camera alignment 摄像机导 camera chain 摄像机系统 camera lens 摄影机镜头 camera signal 电视摄像机信号 camera tube 电视摄像管 camp 计算机辅助掩模制备 camp on 得等待 can 金属盒 canal rays 阳极射线 cancellation 熄灭 cancelling 熄灭 canonical form 标准形 cap layer 保护层 capacimeter 电容测量表 capacitance 电容 capacitance box 电容箱 capacitance meter 电容测试器 capacitive bridge 电容电桥 capacitive coupling 电容性耦合 capacitive diaphragm 电容性窗膜 - 28 - capacitive feedback 电容反馈 capacitive iris 电容性窗膜 capacitive load 电容性负载 capacitive reactance 电容性电抗 capacitive sawtooth generator 电容式锯齿波发 生器 capacitive strip 电容带 capacitive susceptance 电容性电纳 capacitive tuning 电容党 capacitive unbalance 电容不平衡 capacitive voltage divider 电容分压器 capacitive window 电容性窗口 capacitively loaded line 电容性负载线 capacitor 电容器 capacitor coupled fet logic 电容耦合式场效应晶 体管逻辑 capacitor network 电容气络 capacitor parasitics 寄生电容器 capacitor plate 电容偏板 capacitor probe 电容式探针 capacitor voltage characteristic 电容 电压特性 capacity 电容量 capillary clogging 毛细管堵塞 capless annealing 无覆盖退火 capping 覆盖 capping annealing 覆盖退火 capping oxidation 覆盖氧化 capping oxide 覆盖氧化物 capstan 织轮 capstan amplifier 转矩放大器 capsulation 封装 captive production 专用生产 capture 捕获 capture of particles 粒子俘获 capture region 俘获区域 capture time 捕获时间 car radio 汽车收音机 car receiver 汽车接收机 carbon 碳 carbon diaphragm 炭膜 carbon dioxide laser 二氧化碳激光器 carbon granule microphone 炭粒式话筒 carbon mircrophone 炭精式话筒 carbon resistor 碳电阻器 carbonization 增碳 carcinotron 返波管 carcinotron oscillator 返波管振荡器 card 卡 cardioid diagram 心脏形曲线图 cardioid microphone 心形传声器单向传声器 cardioid pattern 心脏形曲线图 cardioidal reception 心形方向图接收 carrier 载波 carrier amplitude 载波振幅 carrier amplitude regulation 载波幅度蝶 carrier balance 载波平衡 carrier capture 载劣俘获 carrier carrier interaction 载劣间相互酌 carrier channel 载波信道 carrier current telegraphy 载波电抱 carrier density 载劣密度 carrier dificiency 载劣耗尽 carrier drift 载劣漂移 carrier flutter 载波颤动 carrier frequency 载波频 carrier gas 载气 carrier generation 载劣生成 carrier heating 载劣加热 carrier killer 载劣寿命扼杀剂 carrier leak 载波泄漏 carrier lifetime 载劣寿命 carrier noise 载波噪音 carrier pair generation 载劣对发生 carrier recombination 载劣复合 carrier socket 插座 carrier spacing 载波间隔 carrier storage 载劣存储 carrier synchronization 载波同步 carrier tape 带式载体 carrier telegraphy 载波电抱 carrier to noise ratio 载波信噪比 carrier transfer 载劣传输 carrier transfer device 载劣转移掐 carrier transit time 载劣渡越时间 carrier transmission 载波传输 carrier transport 载劣输运 cartridge 盒式磁带 cascade 级联 cascade amplifier 级联放大器 cascade connected 级联的 cascade connection 级联 cascade image tube 级联摄象管 - 29 - cascade solar cell 级联太阳电池 cascaded laser 级联激光器 cascode 栅地 阴地放大器共射 共基放大器 cascode amplifier 阴地栅地级联放大器涡尔曼 放大器 case 管壳 casing 外壳 cassegrain antenna 卡塞格伦天线 cassette 箱 cassette based transfer 盒式传送 cassette loader 盒装载器 cassette pitch 盒节距 cassette sampling 向盒取样 cassette station 向盒装卸台 cassette to cassette approach 盒对盒装卸技术 cassette to cassette coater 盒间装卸式涂敷器 cassette to cassette feed 盒对盒装卸操作 cassette to cassette handler 盒对盒装卸装置 cassette to cassette operation 盒对盒装卸操作 cassette to cassette wafer transport 盒对盒薄片转 移 casting 铸模 casting resin 充填尸 catalogue integrated circuit 集成电路样本 catalogue microprocessor 微处理机样本 catalytic oxidation 催化氧化 cataphoresis pumping 电泳泵激 cataphoretic laser 电泳激光器 catcher 收注栅 catcher resonator 收注栅 catcher space 收注栅空间 catchment area 积水面积 cathode 阴极 cathode bias 阴极偏压 cathode coupled amplifier 阴极耦合放大器 cathode current 阴极电流 cathode dark space 阴极暗区 cathode fall space 阴极电位降空间 cathode follower 阴极跟随器 cathode glow 阴板电辉 cathode heater 阴极加热器 cathode heater assembly 阴极加热器 cathode heating time 阴极加热时间 cathode modulation 阴极灯 cathode poisoning 阴极中毒 cathode ray 阴极射线 cathode ray beam intensity modulation 阴极射线 束强度灯 cathode ray oscillograph 阴极射线示波器 cathode ray storage tube 阴极射线存储管 cathode ray tube 阴极射线管 cathode spot 阴极斑点 cathode spraying 阴极溅射 cathode sputtering 阴极溅射 cathodoluminescence 电子致发光 cathodoluminescent display 阴极线发光显示器 cation resin 阳离子交换尸 cavity 空腔谐振器 cavity adjustment 谐振腔蝶 cavity filter 空腔滤波器 cavity frequency meter 空腔频率计 cavity laser 空腔激光器 cavity length 谐振腔长度 cavity linewidth 谐振谱线宽度 cavity magnetron 空腔谐振磁控管 cavity maser 共振腔脉泽 cavity mirror 谐振腔反射镜 cavity mode 空腔共振模 cavity reflector 谐振腔反射镜 cavity resonator 空腔共振器 cavity stability 谐振腔稳定度 cavity tuner 空腔党器 cavity volume 空腔谐振棋积 cavity wavemeter 谐振腔波长计 ccd 电荷耦合掐 ccd filter 电荷耦合掐滤波器 ccd image array 电荷耦合掐成像阵列 ccd imager 电荷耦合成像器 ccd logic 电荷耦合掐逻辑 ccd multiplexer 电荷耦合掐多路转换器 ccd readout 电荷耦合读出设备 ccd shift register 电荷耦合移位寄存器 ccfl 电容耦合式场效应晶体管逻辑 cci 电荷耦合成象器 ccl 电荷耦合逻辑电路 ccram 电荷耦合随机存取存储器 cd 冲突检出 cd amplifier 源输出放大器 cdi 集电极扩散隔离 cdip 陶瓷双列直插式外壳 cell 电池 cell array 单元阵列 - 30 - cell density 单元密度 cell library 单元库 cement 水泥 cement bonding 粘合剂接合 center 中心 center frequency 中心频率 center of a band 频带中心 center to center spacing 中心间距 centering 定中心 centering control 准心蝶器居中蝶 central antenna television 共用天线电视 central battery system 共电制 central exchange 电话总机 central office 电话总机 central processor unit 中央处埋机 central telephone exchange 中央电话局 centralized control 中心控制 centre 电话总机 centre holes 输送孔 centrifuge 离心机 centrifuge testing 离心机试验 centring control 准心蝶器居中蝶 cer dip 陶瓷双列直插式外壳 ceramic and metal package 金属陶瓷外壳 ceramic base 陶瓷衬底 ceramic cap 陶瓷盖 ceramic carrier 陶瓷芯片座 ceramic dip 陶瓷双列直插式外壳 ceramic encapsulated ic 陶瓷封装集成电路 ceramic magnet 陶质磁体 ceramic metallization 陶瓷金属化 cerdip assembly 陶瓷双列直插式外壳组装 cerdip package 陶瓷双列直插式外壳 cerenkov losses 切伦科夫损失 cerenkov radiation 切伦科夫辐射 cerium 铈 cerium glass 铈玻璃 cermet 金属陶瓷材料 cermet approach 金属陶瓷工艺 cermet conductor 金属陶瓷导体 cermet material 金属陶瓷材料 cermet process 金属陶瓷工艺 cesium 铯 cesium antimonide photocathode 锑化铯光电阴 极 cesium atomic beam frequency standard 铯原子 射束的频率标准 cesium phototube 铯光电管 chaff 箔条 chain 电路 chalcogenide glass 硫属化合物玻璃 chalcogenide memory 硫族化合物存储器 challenge 询问 chamber wash 洗室 channel 沟道;信道 channel algorithm 通道算法 channel amplifier 信道放大器 channel balancing 通道平衡 channel bank 通道纽 channel capacity 信道容量 channel conversion 信道变换 channel current 沟道电流 channel cutoff 沟道截止 channel diffusion 沟道扩散 channel electron multiplier 通道电子倍增器 channel group 通道纽 channel injection 沟道注入 channel leakage 沟道漏电 channel mobility 沟道载劣迁移率 channel oxide 沟道区域氧化物 channel phasing 通道定相 channel pulse 推进脉冲 channel region 沟道区域 channel selector 信道选择器 channel separating filter 信道分离滤波器 channel separation 频道分隔 channel stopper 沟道截断环 channel stopper impurity 沟道截断环杂质 channel stopper region 沟道截断区 channel switching 通道转换 channel type 沟道导电类型 channeling 沟道酌 chanstop 沟道截断环 character code 字符码 character display device 字符显示器 character repertoire 字符集 character set 字符集 characteristic admittance 特性导纳 characteristic frequencies 特盏率 characteristic frequency 特盏率 characteristic impedance 特件阻抗 charactron 显象管 - 31 - charge 电荷 charge carrier 载荷子 charge carrier diffusion 载劣扩散 charge carrier generation 载劣发生 charge carrier injection 载劣注入 charge carrier noise 载波噪音 charge compensation 电荷补偿 charge coupled array 电荷耦合掐阵列 charge coupled cell 电荷耦合单元 charge coupled circuit 电荷耦合集成电路 charge coupled device 电荷耦合掐 charge coupled fet 电荷耦合 场效应晶体管结构 charge coupled imager 电荷耦合成象器 charge coupled logic 电荷耦合逻辑电路 charge coupled memory 电荷耦合存储器 charge coupled ram 电荷耦合随机存取存储器 charge coupled register 电荷耦合寄存器 charge depletion 载劣耗尽 charge distribution 电荷分布 charge injection device 电荷注入掐 charge migration 电荷迁移 charge packet 电荷包 charge recombination center 截劣复合中心 charge storage capacitor 电荷存储电容器 charge storage diode 阶跃恢复二极管 charge storage tube 电荷存储管 charge transfer amplifier 电荷传输放大器 charge transfer channel 电荷转移沟道 charge transfer circuit 电荷传输集成电路 charge transfer device 电荷转移掐 charge transfer structure 电荷转移结构 charge transit time 电荷渡越时间 charge transport 电荷输送 chargeable call 收费通话 charged particle 带电粒子 charged particle analyzer 带电粒子分析器 charging indication 费用指示 chatter 锯型边缘;接点振动音 chebyshev filter 切比雪夫滤波器 check 检查 check test 检查试验 checking 检查 cheese antenna 盒形天线 chemical affinity 化学亲合力 chemical bond 化学键 chemical deposition 化学淀积 chemical durability 化学耐久性 chemical etch polishing 化学腐蚀抛光 chemical etchant 化学腐蚀剂 chemical laser 化学激光器 chemical pumping 化学激励 chemical structure fault 化学结构缺陷 chemical vapor deposition 化学汽相淀积 chemical vapor deposition film 化学汽相淀积膜 chemical vapor deposition reactor 化学汽相淀积 反应器 chemisorption 化学吸着 chip 芯片 chip and wire approach 芯片 细线方法 chip and wire hybrid ic 芯片 细线混合电路 chip approach 多片技术 chip assember 片子装配器 chip assembly 片子装配 chip board 芯片板 chip bonding 芯片焊接 chip bonding pad 芯片焊盘 chip capacitor 片状电容器 chip carrier 芯片座 chip carrier assembly 芯片载体组装 chip component 片状元件 chip density 芯片密度 chip design 芯片设计 chip dicing 切成小片 chip diode 片状二极管 chip edge 芯片边缘 chip family 集成电路系列 chip grid 芯片布置网 chip holder 芯片座 chip integrated circuit 片状集成电路 chip layout 芯片布置布线图 chip level complexity 芯片级致密度 chip marking 芯片打标 chip mounting 芯片组装 chip mounting area 芯片安装面积 chip on board process 基板上芯片装配工艺 chip on tape 带式载体上的芯片 chip placer 芯片装入机 chip positioner 芯片定位器 chip prober 芯片探测器 chip processing 芯片处理 chip profile 芯片剖面 chip real estate 芯片有效面积 - 32 - chip resistor 片状电阻器 chip set 芯片组 chip set processor 多片处理机 chip to header bond 芯片 管座焊接 chip trimming 片状电阻蝶 chmos 互补高性能金属氧化物半导体结构 choke 扼力 choke coupling 扼力耦合 choke joint 扼凉缘接头 choke piston 扼令塞 choked flange 阻波凸缝 cholesteric liquid crystal 胆甾醇结构液晶 chopped pulse 缩短脉冲 chroma 色度 chroma control 彩色强度蝶器 chroma key 色度键 chromatic aberration 色差 chromatic channel 色度通道 chromatron 彩色显象管 chrome mask 铬掩模 chrome master 铬掩模原版 chrome photomask 铬掩模 chrome plate 铬掩模 chrominance 色度 chrominance band 色度频带 chrominance carrier reference 彩色载波基准频 率 chrominance channel 色度通道 chrominance demodulation 色度信号解调 chrominance demodulator 色度解调 chrominance modulator 色度信号灯器 chrominance signal 色度信号 chrominance subcarrier 色度副载波 chrominance subcarrier generator 色度副载波发 生器 chrominance synchronisation 彩色同步 chromoscope 彩色显象管 chronopotentiogram 测时电位图 chronopotentiometry 计时电位测量法 chronoscope 计时表 cigfet 互补隔离栅场效应晶体管 cil 电立入逻辑 cipher 密码 cipher mask 数码消隐 ciphering 译成密码 ciphony 密码电话 circuit 电路 circuit analysis 电路分析 circuit closing connection 闭路接法 circuit closing device 接通装置 circuit component 电路元件 circuit density 电路装配密度 circuit design 电路设计 circuit diagram 电路图 circuit element 线路元件 circuit engineering 电路技术 circuit functional checkout 电路功能检查 circuit interconnect pattern 电路互连图案 circuit layout 电路布置图 circuit orbit 圆形轨道 circuit polarizer 圆偏振器 circuit requirements 电路技术条件 circuit simulation 电路模拟 circuit switching 通道转换 circuit technique 电路技术 circuitry 电路图 circular cavity 圆形空腔谐振器 circular cross section 圆形截面 circular guide 圆导轨 circular polarization 圆偏振 circular polarized wave 圆极化波 circular ring laser 环形激光器 circular scanning 圆扫描 circular sweep 圆扫描 circular waveguide 圆形波异管 circularly polarized light 圆偏振光 circularly polarized wave 圆极化波 circulating beam 环柳 circulating gas laser 循环式气体激光器 circulating liquide laser 循环式液体激光器 circulator 循环器 circulator switch 循环平开关 city exchange 市内电话交换局 cl 闭合环路 clad layer 镀层 clad optical fiber 涂层光学纤维 cladding 电镀 cladding thickness 覆盖厚度 clamp amplifier 箝位放大器 clamp diode 箝位二极管 33 clamp on 得等待 clamp pulse 箝位脉冲 clamping 电平固定 clamping circuit 箝位电路 clamping diode 箝压二极管 class 100 clean environment 100 级洁净环境 class 100 clean room 100 级洁净室 class a amplifier a 类放大器 class a modulation 甲类灯 class a modulator 甲类灯器 class a operation a 类运用 class ab amplifier ab 类放大器甲乙类放大 器 class ab operation ab 类运用 class b amplifier b 类放大器 class b modulation 乙类灯 class b modulator 乙类灯器 class b operation b 类运用 class c operation c 类运用 class d amplifier d 类放大器 classfication 分类 classifier 分类机 clean area 净化室 clean audit 洁净度检测 clean bench 洁净台 clean machine 清洗装置 clean room 净化室 clean room compatibility 洁净室适合性 clean room environment 洁净室环境 clean room garment 洁净室工 clean workstation 洁净工拙 cleaner 清洗装置 cleaning 清洗 cleaning boat 清洗小舟 cleanup 清洗 clear back signal 话终信号 clearing relay 话终继电器 clearing signal 话终信号 cleat 夹板 cleavage 解理 click filter 喀呖声滤波器 clipper 限幅器 clipper amplifier 限幅放大器 clipper circuit 限制器限幅器;限幅器熄电 路 clipper diode 限幅二极管 clipper tube 熄管 clipping 限幅 clipping level 限幅电平 clock frequency 时钟频率 clock pulse rate 时钟频率 clock pulse source 时钟脉冲源 clock rate 时钟频率 close packed lattice 密集晶格 close packing 密堆积 closed circuit 闭合电路 closed circuit cooling 闭路冷却 closed circuit television 闭路电视 closed cycle 闭合环路 closed loop 闭合环路 closed shell 闭合壳层 closed tube diffusion 闭管扩散 closed tube oxidation diffusion system 闭管 氧化 扩散系统 clover leaf aerial 苜蓿叶形天线 clover leaf antenna 苜蓿叶形天线 clutter 杂乱回波 clutter noise 杂波噪声 clutter reflections 地物反射 cmis 互补型金属绝缘体 半导体结构 cml 电联关逻辑 cml gate cml 门电路 cmos cmos 结构 cmos integrated circuit cmos 集成电路 cmos latchup cmos 结构的闭锁 cmos on sapphire 蓝宝石上互补金属氧化 物半导体 cmos on sapphire microprocessor 蓝宝石上 互补金属氧化物半导体微处理机 cmos on sapphire process 蓝宝石上互补金 属氧化物半导体工艺 cmos process cmos 工艺 cmosic cmos 集成电路 cmr 共横抑制 cmrr 共模抑制比 cmv 共模电压 co channel interference 同波道干扰;同频道 干扰 34 co diffusion 同时扩散 co3laser communication 二氧化碳激光通 信 coal microphone 炭精送话器 coarse alignment 粗对准 coarse tuning 粗调平直党 coast station 海岸台 coastal refraction 海岸折射 coastal transmitter 海岸发射机 coated optical fiber 涂层光学纤维 coater 涂料器 coating 覆盖 coating composition 涂层成分 coating striation 涂层条纹 coaxial antenna 同轴天线 coaxial attenuator 同轴衰减器 coaxial cable 同轴电缆 coaxial cavity 同轴空腔谐振器 coaxial connector 同轴连接器 coaxial filter 同轴滤波器 coaxial line 同轴线 coaxial line resonator 同轴线谐振器 coaxial phase shifter 同轴移相器 coaxial probe 同轴探针 coaxial resonator 同轴谐振器 coaxial short 同轴短路 coaxial stub 同轴短线 coaxial switch 同轴转换开关 coaxial to waveguide transducer 同轴线 波 导管匹配变换器 coaxial transmission line 同轴传输线 coaxial tuner 同轴典器 cockcroft walton accelerator 科克罗夫特 沃尔顿加速器 codan 载频控制的干扰抑制器 code 符号 code book 电码本 code chip 代码单元 code dictionary 电码本 code division multiple access 分码多址访问 code element 代码单元 code message 编码信息 code selector 选码器 code signal 编码信号 code translation 译码 code unit 代码单元 codec 编码译码器 coded hologram 编码全息照相 coded signal 编码信号 coder 编码器 coder decoder 编码译码器 coding 编码 coefficient of feedback 反馈系数 coefficient of transparency 透玫数 coevaporation 同时蒸发 coherence measurement 相干性测量 coherent acceleration 相干加速 coherent amplification 相干放大 coherent detector 相参检波器相干检波器 coherent light 相干光线 coherent light flux 相干光通量 coherent light holography 相干光全息术 coherent optical radiation 相干光辐射 coherent optics 相干光学 coherent oscillation of particles 粒子束相干 振荡 coherent pulse radar 相干脉冲雷达 coherent radar 相干雷达 coherent radiation 相干辐射 coherent reception 相干接收 coherent scattering 相干散射 coherent scattering cross section 相干散射 截面 coherent signal 相干信号 coherent sychrotron radiation 相干同步加速 气射 coherer 粉末检波器 coil 绕纽 coil aerial 环形天线 coil antenna 环形天线 coil getter 螺旋管吸气剂 coin box 投币箱 coin telephone 投币式公用电话 coincidence analyzer 重合分析器 coincidence circuit 重合电路 coincidence gate 与电路 coincident current selection 电霖合法 cold carrier 冷载劣 35 cold cathode 冷阴极 cold cathode gaseous laser 冷阴极气体激光 器 cold cathode ionization gauge 冷阴极电离 真空计 cold cathode lamp 冷阴极管 cold cathode thratron 冷阴极闸淋 cold cathode tube 冷阴板电子管 cold cathode valve 冷阴极管 cold crucible technology 冷 坩埚技术 cold forming 冷模压 cold measurement 冷测定 cold processing technique 冷加工技术 cold trap chiller 冷捕集器 cold weld 冷焊 collection efficiency 收集效率 collective line 共用线 collector 集电极 collector base capacitance 集电极基极电容 collector base diode 集电极基极二极管 collector breakdown 集电极哗 collector capacitance 集电极基极电容 collector characteristic 集电极特性 collector circuit 集电极电路 collector current 集电极电流 collector diffusion 集电极扩散 collector diffusion isolation 集电极扩散隔 离 collector diffusion isolation technique 集电 极扩散隔离技术 collector electrode 集电极 collector junction 集电极结 collector junction capacitance 集电极结电 容 collector mask 集电极掩膜 collector potential 集电极电位 collector resistance 集电极电阻 collector to base capacitance 集电极 基极 电容 collector to base conductance 集电极 基极 电导 collector to emitter conductance 集电极 发 射极电导 collimation 视准 collimator 准直仪 collinear array 直排天线阵 collision 碰撞 collision broadening 碰撞展宽 collision course indicator 航向防撞指示器 collision cross section 碰撞横截面 collision detection 冲突检出 collision excitation 碰撞激发 collision ionization 碰撞电离 collision loss 碰撞损失 collision number 碰撞数 collisional heating 碰撞发热 color balance 彩色平衡 color balance control panel 彩色平衡控制 盘 color bar 彩条 color bar generator 彩条信号发生器 color bar signal 彩条信号 color bar test pattern 彩条测试图 color brilliance 彩色亮度 color cathode ray tube 彩色阴极射线管 color center formation 色中心形成 color channel 彩色通路 color coder 彩色编码器 color contamination 彩色混杂 color contrast 彩色对比度 color control 色彩蝶 color converter 彩色图象变换器 color decoder 彩色信号解码器 color difference information 色差信息 color difference signal 色差信号 color display device 彩色显示器 color dividing electrode 彩色分离电极 color dividing mask 彩色分离掩膜 color flicker 彩色闪烁 color hologram 彩色全息照相 color holography 彩色全息照相术 color image 彩色图象 color image separation 彩色图象分离 color information 彩色信息 color killer 消色器 color kinescope 彩色显象管 color lock 彩色同步 color matrix 彩色矩阵 36 color modulator 彩色灯器 color monitor 彩色监视器 color noise 彩色信号杂波 color overload 色过饱和 color pattern 彩色测试图 color pattern generator 彩色图样信号发生 器 color phase 彩色相位 color pickup tube 彩色显象管 color picture screen 彩色电视荧光屏 color picture signal 彩色图象信号 color picture tube 彩色显象管 color picture tube of 110 110 偏转角彩色显 象管 color primaries 基色 color purity adjustment 色纯度蝶 color purity coil 色纯度控制线圈 color purity ring 色纯度蝶环 color receiver 彩色电视接收机 color reference signal 彩色基准信号 color resolution 彩色分解力 color stripe filter 彩条滤色器 color subcarrier lock 彩色副载波锁相 color synchronizing 彩色同步 color television 彩色电视 color television picture tube 彩色显象管 color television receiver 彩色电视接收机 color test signal 彩色测试信号 color tv tube 彩色显象管 colorimetry 比色法 colour breakup 色乱 colour centre 彩色中心 colour matching 配色 colour picture tube 彩色显象管 colour sensation 色感觉 colour sensitivity 感色灵敏度 colpitts oscillator 考毕兹振荡器 comb filter 梳形滤波器 comb line 梳形线 comb structure 梳状结构 combination connector 通用终接机 combination frequencies 组合频率 combinational logic function 组合逻辑函数 combined aerial 共用天线 combiner amplifier 组合放大器 command 命令 common aerial 共用天线 common base connection 共基极连接 common cathode 共阴极 common collector connection 共集电极连 接 common drain amplifier 源输出放大器 common emitter connection 共发射极连接 common mode rejection 共横抑制 common mode rejection ratio 共模抑制比 common mode voltage 共模电压 communication 通信 communication band 通信频带 communication cable 通信电缆 communication channel 通信信道 communication engineering 通信工程学 communication line 通信线路 communication network 通信网络 communication protocol 通信协议 communication range 通信范围 communication satellite 通信卫星 communication satellite net 通信卫星系统 communication security 通信保密 communication system 通信系统 community antenna 共用天线 community television 集体电视 commutation 换向 commutation system 交换系统 compact laser 小型激光器 compaction 数据压缩 compander 压伸器 companding 压缩扩展 comparator bridge 比较电桥 compatibility 互换性 compatible color television 兼容彩色电视 compatible integrated circuit 兼容集成电路 compensated impurity 补偿杂质 compensated semiconductor 补偿半导体 compensated video amplifier 视频补偿放大 器 compensating circuit 补偿电路 compensating signals 补偿信号 compensation 补偿 37 compensation factor 补偿因数 compensator bridge 补偿电桥 complementary high performance mos 互补 高性能金属氧化物半导体结构 complementary insulated gate fet 互补隔离 栅场效应晶体管 complementary integrated circuit 互补集成 电路 complementary metal insulator semiconductor 互补型金属绝缘体 半导体 结构 complementary mnos 互补金属氮化物氧化 物半导体 complementary mos cmos 结构 complementary transistor 互补晶体管 complementary transistor logic 互补晶体管 逻辑 complementary ttl 互补晶体管 晶体管逻 辑电路 complementary wavelength 互补色波长 complete inversion 全反转 completely reflecting mirror 全反射镜 compliant lead 易弯曲的引线 component 成分 component density 元件密度 component inserter 元件插入装置 component integration 元件集成化 component interconnect 元件间相互连接 component layout 元件布置 component manufacturing machinery 元件 制造设备 component mounting 元件装配 component part 电路部件 component reliability 元件可靠性 composite board 复合板 composite color signal 复合色信号 composite gate 复合门 composite gate mos structure 复合栅金属氧 化物半导体结构 composite layer 复合层 composite material 合成材料 composite picture signal 复合图象信号 composite set 报话复合器 composite substrate 复合衬底 composite sync generator 复合同步信号发 生器 composite sync signal 复合同步信号 composite transistor 复合晶体管 composite wafer 多层薄片 composition 成分 compound 复合物 compound glass 复合玻璃 compound integration 多芯片集成电路 compound semiconductor 化合物半导体 compound semiconductor body 化合物半导 体衬底 compound semiconductor device 化合物半 导体掐 compound semiconductor interface 化合物 半导体界面 compound signal 复合信号 compression 数据压缩 compression system 压缩系统 compressional heating 压缩加热 compressor 压缩机 computer 计算机 computer aided customization 计算机辅助 专用集成电路设计 computer aided design 计算机辅助设计 computer aided design facilities 计算机辅助 设计工具 computer aided design library 计算机辅助 设计程序库 computer aided design system 计算机辅助 设计系统 computer aided drafting 计算机辅助制图 computer aided mask preparation 计算机辅 助掩模制备 computer conferencing 计算机会仪 computer controlled laser 计算机控制激光 器 computer generated artwork 计算机生成原 图 computer hologram 计算机全息图 computer mail 电子函政 computer simulation 计算机模拟 computer to computer communication 计算 机间通信 38 computerized design technique 计算机辅助 设计技术 computing machine 计算机 computing technique 计算技术 computor aided drawing 计算机辅助制图 computor assisted design 计算机辅助设计 concentration 浓度 concentration gradient 浓度梯度 concentration level 浓度级 concentration profile 浓度分布曲线 concentration ratio 浓度比率 concentrator 集线器集中器 condensation 数据压缩 condensation nucleus 凝聚核 condenser antenna 电容性天线 condenser circuit 电容歧路 condenser lens 聚束透镜 condenser microphone 电容式话筒 condenser transmitter 电容式话筒 condenser voltage 电容歧压 conditioned air 第的空气 conductance 电导 conductance band 导带 conducting channel 导电沟道 conducting film 导电膜 conduction 导电 conduction band 导带 conduction electron density 导电电子密度 conduction type 导电型 conductive adhesive 导电粘合剂 conductive coating 导电涂层 conductive crossover 导线交叉 conductive pattern 导电图 conductivity 电导率 conductivity modulation 电导率灯 conductor 导线 conductor insulator semiconductor fet 金属 绝缘体半导体场效应晶体管 conductor layer 导体层 conductor paste 导体胶 conductor paste system 导体膏系统 conductor pattern 导线分布图 conductor to hole spacing 导体孔间隔 cone antenna 锥形天线 configuration 配置 confocal resonator 共焦谐振器 congestion 超负荷 conical horn 圆锥形喇叭 conical resonator 圆锥形谐振器 conical scanning 圆锥形扫描 connecting device 连接装置 connecting plug 塞子 connection 连接 connection diagram 接线图 connection error 连接错误 connection plug 塞子 connection release 保险装置 connection route 连接通路 connector 插接器插头座 constant current modulation 定疗 constant current source 恒链 constant luminance 恒定亮度 constant voltage modulation 定压灯 construction 结构 contact annealing 接触式退火 contact area 接触面积 contact device 接触装置 contact diffusion 电极孔形成扩散 contact drop 接触电位差 contact exposure 密接曝光 contact gap 接触间隙 contact hole 接触窗口 contact hole opening 开接触孔 contact lithography 接触光蚀刻 contact mask printer 接触式掩模复制器 contact opening 接触窗口 contact pad 接触点 contact photolithography 接触光蚀刻 contact potential 接触电势 contact potential barrier 接触势垒 contact potential difference 接触电位差 contact region 接触面积 contact resistance 接触电阻 contact scribing 接触式划片 contact socket 接触座 contact window 接触窗口 contactless lithography 无接触光蚀刻 contactless scribing 无接触划片 39 contaminant 沾染物质 contaminant free film 无沾污膜 contamination 沾染物质 continental code 国际电码 continuous laser 连续工驻光器 continuous layer 连续层 continuous pump 连续激励 continuous tuner 连续党器 continuous wave doppler radar 连续波多普 勒雷达 continuous wave irradiation 连续波辐照 continuous wave laser 连续波激光器 continuous wave laser operation 连续波激 光操作 continuous wave mode 连续波运行 continuous wave operation 连续波运行 continuous wave radar 连续波雷达 continuously operating laser 连续工驻光器 continuously pumped laser 连续激励激光器 continuously tunable laser 可连续党激光器 contrast 对比 contrast control 对比度第 contrast control device 对比度第装置 contrast dynamic range 对比度动态范围 contrast range 对比度范围 contrast ratio 对比度系数 contrast threshold 对比阈 control 控制 control channel 控制信道 control characteristic 控制特性 control circuit 控制电路 control circuit apparatus 控制电器 control device 控制装置 control diffusion 受控扩散 control electrode 控制电极 control gate 控制栅 control grid 控制栅 control of horizontal synchronization 行同 步控制 control of vertical synchronizing 场同步控 制 control track 控制声道 control voltage 控制电压 controlled atmosphere furnace 可控气氛炉 controlled diode 控制二极管 controlled junction depth 受控结深度 controlled switch 受控开关 controller 控制器第器;控制器 convergence 会聚 convergence circuit 会聚电路 conversation 通话 conversational mode 对话方式 conversion 变换 conversion efficiency 转换效率 conversion integrated circuit 变换集成电路 conversion loss 变换损耗 converter 变换器 converter tube 变换管 conveyor dryer 传送带式干燥机 coolant 冷却剂 coolant fluid 冷却剂铃 cooled photodetector 冷却式光电探测器 cooler 冷却器 cooling 冷却 cooling circuit 冷却回路 cooling fin 散热片 cooling fluid 冷却液 cooling jacket 冷却夹套 cooling rate 冷却速率 cooling system 冷却系统 cooling water 冷却水 cooling water circulation 冷水环流 coordinate plotter 坐标绘图机 coordinate sensitive photodetector 坐标敏感 光电检测器 coordinate table 坐标工专 coordinatograph 坐标绘图机 coplanar electrodes 共平面电极 copper 铜 copper clad laminate 敷铜箔叠层板 copper filled adhesive 填铜粘合剂 copper plated base material 镀铜的基体材 料 copying 复制 cord circuit 塞绳电路 cord circuit repeater 塞绳增音机 cordless switchboard 无塞绳交换机 core 磁心 40 core logic 磁心逻辑 coring 晶内偏析 corner 弯曲软波导 corner antenna 角反射旗线 corner cube 角形反射器 corner reflector 角形反射器 corona 电晕放电 corona discharge 电晕放电 correcting amplifier 校正放大器 correcting lens 校正透镜 correcting signal 校正信号 correction 校正 correction of distortion 失真校正 correction of phase 相位校正 correction time 校正时间 corrector circuit 校正电路 corrosion 腐蚀 corrosion stability 腐蚀稳定性 corrugated mask 多孔障板 cosmic laser 宇宙激光器 cosmic maser 宇宙脉泽 cosmic noise 宇宙噪声 coulomb barrier 库仑势垒 coulomb interaction 库仑互相酌 coulombian repulsion 库仑排斥 counter 计数器 counter doping 补偿掺杂 counter radar means 反雷达手段 counting circuit 计数电路 couple 电偶 coupled lasers 耦合激光器 coupled modes 耦合方式 coupled oscillations 耦合振动 coupled resonators 耦合谐振器 coupled waves 耦合波 coupler 插接器插头座 coupling 耦合 coupling aperture 耦合孔 coupling capacitor 隔直羚容器隔离电容器 coupling coefficient 耦合度 coupling element 插接器插头座 coupling factor 耦合度 coupling impedance 耦合阻抗 coupling loop 耦合环 coupling resistance 耦合电阻 coupling slot 耦合槽 course of the beam 射束路径 cover 覆盖 coverage 覆盖 cpu 中央处埋机 crack 裂纹 crack detection 裂纹探测 crack formation 形成裂缝 crack nucleation 形成裂缝 cratering 形成弹坑 craze 微裂缝 creeping discharge 沿表面放电 crest factor 峰值因数 critical dimension 临界尺寸 critical frequency 临界频率 critical temperature of superconductivity 超 导电临界温度 critical wavelength 临界波长 crookes tube 克鲁克斯放电管 cross coupling 交叉耦合 cross diffusion 交叉扩散 cross fade 叠象渐变 cross modulation 交扰灯 cross neutralization 交叉中和 cross section 横截面 crossbar connector 纵横制连接器 crossbar switching device 纵横制交换装置 crossed antenna 交叉天线 crossed coil antenna 交叉环形天线 crossed field discharge 交叉场放电 crossed field gun 交叉场电子枪 crossed fields 交叉电场 crossfire 串报 crossing 相交 crossover 交叉 crossover frequency 过渡频率 crossover oxide 交叉布线绝缘用氧化物 crosstalk 串话 crosstalk coupling 串话耦合 crosstalk level 串话电平 crosstalk meter 串音测试器 crosstalk volume 串话音量 crossunder 穿接 41 crow's foot 微裂缝 crt 阴极射线管 crt face plate crt 荧光屏 crucible 坩埚 crucible evaporator 坩埚蒸发器 crucible furance 坩埚炉 crucible melting 坩锅熔融 crucible oven 坩埚炉 crucibleless method 无坩埚方法 cryoelectronic amplifier 低温电子放大器 cryoelectronic device 低温电子装置 cryoelectronic integrated microcircuit 低温 电子集成微型电路 cryoelectronic system 低温电子系统 cryoelectronic unit 低温电子部件 cryoelectronics 低温电子学 cryogenic bolometer 低温测辐射热计 cryogenic cooling 低温冷却 cryogenic element 低温元件 cryogenic engineering 低温技术 cryogenic equipment 低温设备 cryogenic laser 低温激光器 cryogenic system 低温装置 cryogenic technique 低温技术 cryologic 低温逻辑 cryopump 低温泵 cryosar 雪崩复合低位开关 cryosorption pump 低温吸附泵 cryotronics 低温电子学 cryptochannel 密码信道 cryptographic system 密码系统 cryptography 密码术 cryptology 保密学 cryptomicroprocessor 密码微处理机 cryptotext 密码电文 crystal 结晶 crystal anisotropy 晶体蛤异性 crystal axis 晶轴 crystal boundary 晶体间界 crystal checker 晶体检验器 crystal chemistry 晶体化学 crystal control 晶体控制 crystal cut 晶体切割 crystal defect 晶体缺陷 crystal delay line 水晶延迟线 crystal detector 晶体检波器 crystal diode 晶体二极管 crystal direction 结晶定向 crystal face 晶面 crystal filter 晶体滤波器 crystal form 晶体形式 crystal grain 晶粒 crystal growing 晶体生长 crystal growing apparatus 晶体生长装置 crystal growing furnance 晶体生长炉 crystal growing in melts 熔融晶体生长 crystal growing in solution 溶液晶体生长 crystal growing in vapor phase 汽相晶体生 长 crystal growth 晶体生长 crystal habit 晶体形态 crystal imperfection 晶体缺陷 crystal indices 密勒指数 crystal laser 晶体激光器 crystal lattice 晶格 crystal microphone 晶体话筒 crystal mixer 晶体混频器 crystal morphology 晶体形态学 crystal nucleus 晶核 crystal optics 晶体光学 crystal oscillator 晶体振荡器 crystal physics 晶体物理学 crystal plane 晶面 crystal puller 拉晶机 crystal pulling 拉晶法 crystal pulling furnace 拉晶炉 crystal pulling method 拉晶法 crystal resonator 水晶振子 crystal rotation 晶体偏振面旋转 crystal section 晶体断面 crystal seed rod 晶种棒 crystal structure 晶体结构 crystal technique 晶体生长技术 crystal timing oscillator 晶体定时振荡器 crystal whisker 晶须 crystalline laser 晶体激光器 crystalline semiconductor 晶体半导体 crystallite 晶粒 42 crystallization by cooling 冷却式结晶化 crystallization center 结晶中心 crystallization direction 结晶方向 crystallization nucleus 晶种 crystallization temperature 结晶温度 crystallographic orientation 晶向 crystallographic plane 晶面 csd 阶跃恢复二极管 ctd 电荷转移掐 ctl 互补晶体管 晶体管逻辑电路 cttl 互补晶体管 晶体管逻辑电路 cubic semiconductor 立方晶格半导体 cubical lattice 立方晶格 cue 信号 cue track 尾接指令磁迹 cumulative ionization 雪崩电离 cumulative shelf life 累积储藏寿命 curie point 居里温度 curie temperature 居里温度 curing agent 硬化剂 curing system 硬化装置 curing temperature 硬化温度 current 电流 current amplification 电僚大 current amplification factor 电僚大系数 current carrying capacity 电凛许量 current density 电淋度 current feedback 电链馈 current gain 电瘤益 current generator 电立生器 current injection logic 电立入逻辑 current limiter 电赁制器 current mode logic 电联关逻辑 current noise 电岭声 current regulator 稳流 current responsivity 电领应度 current source 电链 current terminal 电了子 current voltage characteristic 伏安特性 current voltage diagram 伏安特性 cursor 指针 curve 曲线 curved waveguide 弯曲波导管 custom approach 定制集成电路技术 custom chip 定制集成电路 custom hardware 定制硬件 custom ic design 定制集成电路设计 custom implementation 定制集成电路制造 custom integrated circuit 定制集成电路 custom layout 定制集成电路布置布线图 custom monolithic circuit 定制单片集成电 路 custom photomask 定制光掩模 custom route 定制路由 customer 用户 customer loop 用户环路 customization 实现定制集成电路 cut 切断 cut in 插通 cutoff 保险装置 cutoff attenuator 截止衰减器 cutoff bias 截止偏压 cutoff current 截止电流 cutoff frequency 截止频率 cutoff state 截止状态 cutoff voltage 截止电压 cutoff waveguide 截止波导 cutoff wavelength 截止波长 cutout 保险装置 cutter head 机械录音头 cutting 切 cutting blade 切割刀片 cutting stylus 录音针 cvd 化学汽相淀积 cvd oxide 化学汽相淀积氧化物 cvd polysilicon 化学汽相淀积多晶硅 cvd silicon 化学汽相淀积硅 cw lasing 连续波激光振荡 cw pumped laser 连续激励激光器 cw radar 连续波雷达 cycle duration 循环时间 cycle time 循环时间 cyclic accelerator 循环加速器 cyclic code 循环码 cycling 循环 cyclotron 回旋加速器 cyclotron frequency 回旋加速频率 cyclotron maser 回旋加速脉泽 43 cyclotron resonance 回旋加速瞥振 cylindrical cathode 圆柱形阴极 cylindrical magnetic domain 圆柱形磁畴 cylindrical plasma reactor 圆柱形等离子体 反应器 cylindrical reflector 柱面反射器 cylindrical wave 柱面波 cymometer 波长计 cypher 密码 cz 切克劳斯基法 czochralski grown crystal 切克劳斯基法生 长晶体 czochralski grown ingot 切克劳斯基法生长 晶体 czochralski method 切克劳斯基法 czochralski production system 切克劳斯基 晶体生长装置 czochralski silicon 切克劳斯基法生长硅 d/a converter 数字模拟转换器 da 设计自动化 dac 数字模拟转换器 dacq 数据采集 damage 损伤 damage area 损伤区 damage probability 损伤概率 damage study 破坏研究 damped oscillations 阻尼振荡 damped wave 衰减波 damping 衰减 damping constant 衰减常数 damping diode 阻尼二极管 damping factor 衰减因数 dark current 暗电流 dark discharge 暗放电 dark pulse spectrum 暗脉冲谱 dark resistance 暗电阻 dark space 暗区 dark spot 黑点 dark spot signal 黑点信号 dark trace screen 暗迹屏 darlington amplifier 达灵顿放大器 darlington emitter follower 达林顿射极跟 随器 data 数据 data acquisition 数据采集 data bank 数据库 data capture 数据采集 data channel 数据电路 data circuit 数据电路 data collection 数据采集 data communication 数据通信 data communication system 数据传送系统 data compression 数据压缩 data concentrator 数据集中器 data conversion 数据转换 data gathering 数据采集 data handling 数据处理 data line 数据电路 data link 数据传输线路 data logging 数据采集 data modem 数据灯解调 data network 数据网 data packet switching 数据包交换 data processing 数据处理 data reduction 数据压缩 data slice 位片微处理机 data transfer 数据传送 data transmission 数据传送 data transmission channel 数据传输通道 dc 数据转换 dc amplifier 直僚大器 dccd 数字电荷耦合掐 dccl 直接电荷耦合逻辑 dctl 直接耦合晶体管逻辑 dctl gate 直接耦合晶体管逻辑门 de emphasis 去加重 dead time 无感时间 debunching of a beam 束立聚 deburring 去毛刺 debye length 德拜长度 debye temperature 德拜温度 decade counter 十进数计数器 decade counter tube 十进计数管 decade voltage divider 十进制分压器 decapsulation 解封装 decarbonization 脱碳 decarburizing 脱碳 44 decatron 十进制计数管;十进制计数管 decay 衰减 decay characteristic 衰变特性 decay time 衰落时间 deceleration time 时延 decision element 判定元件 declination 偏角 decoder 解码器 decoder circuit 译码电路 decoding 译码 decoding circuit 译码电路 decomposition 分解 decomposition reaction 分解反应 decomposition technique 分解技术 decomposition temperature 分解温度 decoration 染色 decoupling filter 去耦滤波器 dedicated chip 专用芯片 dedicated integrated circuit 专用芯片 deep acceptor 深受主 deep center 深杂质中心 deep depletion ccd 深耗尽层电荷耦合掐 deep depletion transistor 深耗尽层晶体管 deep diffusion 深扩散 deep donor 深施主 deep etching 深腐蚀 deep kerf 深槽 deep trap 深陷阱 deep uv exposure 远紫外线曝光 deep uv light 远紫外线 deep uv lithography 远紫外线光刻 deep uv mask 远紫外线掩模 deep uv projection aligner 远紫外线投影曝 光对准器 deep uv rays 远紫外线 deep uv resist 远紫外线灵敏抗蚀剂 deexcitation 去激 defect 缺陷 defect concentration 缺陷密度 defect conduction 晶体缺陷导电 defect density 缺陷密度 defect density distribution 缺陷密度分布 defect free crystal 无缺陷晶体 defect motion 缺陷移动 defective silicon 有缺陷硅 definition 清晰度 definition technique 图象形成技术 deflect 偏转 deflecting electrode 致偏电极 deflecting field 偏转场 deflecting mirror 偏转镜 deflecting plate 偏转板 deflecting system 偏向系统 deflecting voltage 偏转电压 deflecting yoke 偏转系统 deflection 偏转 deflection amplifier 偏转放大器 deflection angle 偏转角 deflection coefficient 偏转系数 deflection coil 偏转线圈 deflection current 偏转电流 deflection defocusing 偏转散焦 deflection distortion 偏转失真 deflection electrode 偏转电极 deflection factor 偏转系数 deflection magnet 偏转磁铁 deflection non linearity 偏转非线性 deflection sensitivity 偏转灵敏度 deflection speed 偏转速率 deflection system 偏向系统 deflection voltage 偏转电压 deflection yoke 偏转磁轭 deflector plates 偏转板 defluxer 去焊剂器 defocusing 散焦 deform 变形 degassing 除气 degaussing 去磁 degaussing coil 去磁线圈 degeneracy 简并 degeneracy factor 简并度 degenerate condition 简并条件 degenerate distribution 简并分布 degenerate laser 简并激光器 degenerate level 简并能级 degenerate oscillation 简并振动 degenerate region 简并区 degenerate resonator 简并谐振器 45 degenerate semiconductor 简并半导体 degenerate state 简并态 degeneration 简并 degradation 老化 degradation mechanism 老化机构 degreaser 除油器 degree 度 degree of coherence 相干度 degree of confidence 置信度 degree of ionization 电离度 degree of light modulation 光灯度 degree of monochromaticity 单色度 degree of safety 安全程度 deionization 去离子 deionizator 离子交换装置 deionized water 去离子水 deionized water rinsing 去离子水冲洗 dekatron 十进管 delay 延迟 delay cable 延迟电缆 delay circuit 延迟电路 delay distortion 延迟失真 delay equalizer 延迟均衡器时延等化器 delay line 延迟线 delay line length 延迟线长度 delay signal 迟缓信号 delay time 时延 delayed blanking signal 延迟消隐信号 delayed sweep 延迟扫描 delayed synchro signal 延迟同步信号 delidding 解封装 delineation 描绘 dellinger effect 粗捷效应 delta matched impedance antenna 形阻抗匹 配天线 delta matching 匹配 delta modulation 灯 delta modulator 增量灯器 demagnetizer 去磁器 demagnetizing factor 退磁系数 demagnifying electron projection 缩图式电 子束投影 dematron 搓管 demineralization 除盐 demodulation 解调 demodulation method 解到式 demodulator 解调 demountable tube 可拆管 demultiplexer 多路分配器 demux 多路分配器 dendrite 枝状晶体 dendrite formation 枝状晶体生长 dendritic crystal 枝状晶体 dense chip 高密度芯片 dense logic 高密度逻辑 density 密度 density distribution 密度分布 density modulation 密度灯 density of packaging 封装密度 density of states 态密度 depletion 耗尽 depletion channel 耗尽型沟道 depletion implantation 耗尽区离子注入 depletion layer 耗尽层 depletion layer capacitance 耗尽层电容 depletion layer capacity 耗尽层电容 depletion metal schottky fet 耗尽型肖特基 场效应晶体管 depletion mode 耗尽型 depletion mode fet 耗尽型场效应晶体管 depletion mode integrated circuit 耗尽型集 成电路 depletion mode operation 耗尽型动作 depletion mode region 耗尽区 depletion most 耗尽型金属氧化物半导体 晶体管 deposit substrate interface 淀积层 衬底界 面 deposited film 沉积膜 deposited resistor 沉积电阻器 deposition 沉积 deposition chamber 淀积室 deposition composition 淀积层成分 deposition mask 淀积掩模 deposition target 淀积靶 depth dimensions 深度尺寸 depth distribution 深度分布 depth meter 深度计 46 depth of modulation 灯深度 depth placement 杂质的沿深度布置 depth profile 沿深度掺杂分布图 derating 降低定额值 derivation circuit 微分电路 descumming 清除浮渣 desiccant 干燥剂 design 设计 design aids 自动设计工具 design automation 设计自动化 design automation software package 自动设 计程序包 design automation system 设计自动化系统 design database 设计数据库 design facilities 自动设计工具 design phase 设计阶段 design reliability 设计可靠性 design rule 设计规则 design technique 设计技术 desk 面板 desk coin telephone 桌形投币式公用电话 机 desk telephone 桌式电话机 desmear 表面沾污去除 desoldering 脱焊 desoldering vacuum module 真空脱焊组件 desorption 解吸 destaticization 防静电处理 destruction 破坏 destructive pull test 破坏性拉伸试验 detectability 探测率 detection 检波 detection boundary 探测界限 detection limit 探测极限 detection of radiation 辐射探测 detection probability 探测概率 detection process 检波过程 detection range 探测距离 detection threshold 探测限界 detection time 探测时间 detectivity 探测率 detector 传感器 detector diode 二极管检波器 detector noise 检测齐声 detector sensitibility 检测崎敏度 detector stage 检波级 detector tube 检波管 detector valve 检波管 deterioration 劣化 deterioration of emission 放射劣化 detuning 失调 develop check 光刻胶显影检查 developed hologram 显影的全息图 developed image 显影图象 developer 显影剂 developing 显影 developing solution 显影溶液;显影液 development 显影 development flow 试制撂 development of photoresist 光致抗蚀剂显 影 development tools 自动设计工具 deviation flag 航线偏移指示仪 deviation indicator 偏差指示器 deviation system 偏转系统 device 装置;元件 dew point temperature 露点温度 dewar flask 杜瓦真空瓶 dfet 耗尽型场效应晶体管 dft 离散傅里叶变换 di water facilities 脱离子水用设备 diagnostic facilities 诊断设备 diagnostic holography 诊断全息照相术 dial exchange 自动交换区 dial line 交换线路 dial pulsing 拔号脉冲 dial signal 拔号信号 dial switch 拨号盘式开关 dial telephone system 自动电话系统 dial tone 拨号音 dial up line 交换线路 dialer 拔号器 dialing 拔号 dialing pulse 拔号脉冲 dialing signal 拔号信号 dialog mode 对话方式 diamond 金刚石 diamond blade 金刚石刀片 47 diamond saw 金刚石锯 diamond scribe tool 金刚石划片器 diamond scriber 金刚石划片器 diamond wheel 金刚石刀片 diaphragm 隔膜 diaphragm mode filter 隔膜型滤波器 diaphragmatic waveguide 膜片加截波导 dic 数字集成电路 dice 芯片 dice yield 芯片成品率 dicer 切片机 dicing 切割 dicing saw 切割锯 die 芯片 die adherence 芯片粘附 die and wire bonding 芯片 导线焊接 die attach preform 芯片安装用框架 die attachment 芯片焊接 die bonder 芯片焊接机 die bonding 芯片焊接 die by die alignment 步进式芯片对准 die by die exposure 步进式芯片曝光 die by die printing 步进式芯片曝光 die ejector unit 芯片分离器 die grading 芯片分选 die integrated circuit 芯片状集成电路 die mounting area 芯片安装面积 die on tape 链式带上的芯片 die orientation 芯片方位 die pad 芯片安装面积 die pickup 芯片拾取器 die processing 芯片处理 die push test 芯片分离试验 die separation 芯片分离 die sort 芯片分选 die sorter 芯片分选装置 die visual control 芯片肉眼检查 die visual gate 芯片肉眼检查台 die yield 芯片成品率 dielectric antenna 电介质天线 dielectric breakdown voltage 电介质哗电压 dielectric cap 介质盖 dielectric constant meter 介电常数测定计 dielectric dissipation factor 介质损耗因数 角 dielectric film 介质膜 dielectric isolated mos 介质隔离金属氧化 物半导体 dielectric isolation 介质隔离 dielectric line 介质线路 dielectric loss 介质损失 dielectric loss meter 介质损耗测量计 dielectric loss tangent 介质损耗因数角 dielectric medium 电介质 dielectric paste system 介质膏系统 dielectric resonator 介质谐振器 dielectric semiconductor interface 电介质 半导体界面 dielectric strength 绝缘强度 dielectric waveguide 介质波导管 dielectrically isolated integrated circuit 介质 隔离集成电路 dielectrically isolated island 介质隔离岛 dielectrically loaded waveguide 介质负载波 导管 dieleltric constant 介电常数 difference amplifier 差动放大器 difference of phase 相位差 differential 差动的 differential amplifier 差动放大器 differential etch rate 微分腐蚀速度 differential etching 微分腐蚀 differential gain 微分增益 differential pcm 差动式脉码灯 differential phase 微分相位 differential phase modulation 差动式掂 differential phase shift 差动相移 differential pulse code modulation 差值脉冲 编码灯 differential scattering cross section 微分散 射截面 differentiating circuit 微分电路 differentiator 微分电路 diffracted wave 衍射波 diffraction 衍射 diffraction fringes 衍射条纹 diffraction grating alignment 衍射光栅对准 diffraction limit 衍射极限 48 diffraction pattern 衍射图 diffraction propagation 绕射传播 diffraction scattering 绕射散射 diffractional loss 衍射损耗 diffusant 扩散剂 diffusant source 扩散源 diffuse base 扩散基极 diffuse reflectance 漫反射系数 diffuse reflecting target 漫反射靶 diffuse reflection 漫反射 diffuse reflection factor 漫反射系数 diffuse scattering 漫散射 diffuse spectral line 漫射光谱线 diffuse surface 漫反射面 diffused diode 扩散二极管 diffused drain 扩散漏极 diffused junction 扩散结 diffused junction capacitor 扩散结型电容器 diffused junction diode 扩散结型二极管 diffused junction isolation 扩散结型隔离 diffused line 扩散路线 diffused portion 扩散区 diffused resistor 扩散电阻器 diffused resistor block 扩散电阻检测单元 diffused source 扩散源 diffused strip 扩散条 diffused weld 扩散压合 diffuser 漫射体 diffusing atom 扩散原子 diffusing impurity 扩散剂 diffusion 扩散 diffusion annealing 扩散退火 diffusion barrier 扩散势垒 diffusion boat 扩散舟 diffusion bonding 扩散压合 diffusion capacitance 扩散电容 diffusion capacity 扩散电容 diffusion coefficient 扩散系数 diffusion collector 扩散集电极 diffusion conditions 扩散条件 diffusion crystallizer 扩散结晶器 diffusion depth 扩散深度 diffusion edge 扩散边缘 diffusion equation 扩散方程 diffusion facilities 扩散设备 diffusion factor 扩散系数 diffusion furnace 扩散炉 diffusion gettering 扩散吸除 diffusion gradient 扩散杂质梯度 diffusion impervious layer 扩散阻挡层 diffusion induced strain 扩散诱起的变形 diffusion isolation 扩散隔离 diffusion junction 扩散结 diffusion length 扩散距离 diffusion mask 扩散掩模 diffusion mask opening 扩散掩模窗口 diffusion of vacancies 空位扩散 diffusion oven 扩散炉 diffusion process 扩散 diffusion pump 扩散泵 diffusion pump fluid 扩散泵用液体 diffusion pump oil 扩散泵油 diffusion rate 扩散速率 diffusion source 扩散源 diffusion step carrier 扩散工艺用载体 diffusion stop 扩散抑制器 diffusion transistor 扩散型晶体管 diffusion tube 扩散管 diffusion window 扩散窗 digital analogue converter 数字模拟转换器 digital array 数字阵列 digital capacitance meter 数字电容表 digital ccd 数字电荷耦合掐 digital chip 数字集成电路 digital circuit 数字电路 digital communication 数字通信 digital communication by satellite 数字卫星 通信 digital communication system 数字通信系 统 digital computer 数字计算机 digital control 数字控制 digital cryptographic system 数字密码系统 digital data 数字数据 digital data network 数字数据网 digital data transmission 数字数据传输 digital display 数字指示器 digital filter 数字滤波器 49 digital frequency meter 数字式频率计 digital information 数字信息 digital integrated circuit 数字集成电路 digital line 数字通信线路 digital logic gate 数字逻辑门 digital microelectronics 数字微电子学 digital modem 数字灯解调 digital modulation 数字灯 digital multimeter 数字万用表 digital multiplex system 数字多路系统 digital network 数字网络 digital oscilloscope 数字示波器 digital output 数字输出 digital performance 数字集成电路特性 digital phase shifter 数字式移相器 digital recording 数字记录 digital signal 数字信号 digital signal analyzer 数字信号分析器 digital signature 数字签名 digital storage oscillograph 数字式存储示 波器 digital switching device 数字转换装置 digital switching system 数字转换系统 digital system 数字系统 digital telcphone switching system 数字电 话交换局 digital telephone 数字电话 digital telephone exchange 数字电话交换局 digital telephone network 数字电话网 digital television 数字电视 digital transmission 数字传输 digital transmission system 数字传输系统 digital transversal filter 数字式横向滤波器 digital voltmeter 数字式电压表 digitizer 数字转换器 diic 介质隔离集成电路 dilp 双列直插式外壳 dilution 稀释 dimension check 尺寸检查 diode 二极管 diode action 二极管酌 diode arrangement 二极管布置 diode array 二极管矩阵 diode array integrated circuit 二极管阵列集 成电路 diode characteristic 二极管特性 diode clipper 二极管熄器二极管限幅器 diode detection 二极管检波 diode detector 二极管检波器 diode function generator 二极管函数发生 器 diode gate 二极管门 diode laser 二极管激光器 diode laser matrix 激光二极管阵列 diode limiter 二极管限制器 diode matrix 二极管矩阵 diode rectifier 整窿极管 diode sputter 两电极离子溅射装置 diode switch 二极管开关 diode transistor logic 二极管晶体管逻辑 diode transistor logic gate 二极管 晶体管 逻辑门 diode type isolation 二极管型隔离 dioxide 二氧化物 dioxide polysilicon isolation 二氧化硅 多 晶硅隔离 dip 偏角 dip coating 浸渍涂敷 dip etching 浸渍腐蚀 dip integrated circuit 双列直插式外壳集成 电路 dip lead frame 双列直插式引线框架 dip power 双列直插式外壳耗散功率 dip soldering 浸入焊接 diplex operation 双工工作 diplex reception 同向双工接收 diplexer 天线共用器 dipole 偶极子 dipole antenna 偶极天线 direct charge coupled logic 直接电荷耦合 逻辑 direct coupled amplifier 直耦放大器 direct coupled transistor logic 直接耦合晶 体管逻辑 direct current 直流 direct current amplifier 直僚大器 direct current beta 晶体管共发射极短路电 僚大系数 50 direct current biasing 直莲压法 direct current bridge 直羚桥 direct current convergence 静态会聚 direct current excited laser 直沥励型激光器 direct current insertion 直林量插入 direct current measurement 直菱量 direct current power supply 直羚源 direct current pumping 直沥励 direct current radiometer 直柳射计 direct current resistance 直羚阻 direct current restorer 直辽分恢复电路 direct current telegraphy 直羚报 direct display storage tube 直接显象存储管 direct distance dialing 直接长途拨号 direct jamming 直接干扰 direct measurement 直接测量 direct recording 直接录制 direct step on wafer 薄片上步进式直接曝 光 direct supercurrent 超导直流 direct transition 直接跃迁 direct view storage tube 直观式存储管 direct write electron beam lithography 直写 式电子束光刻 direct write electron beam system 直写式电 子束光刻装置 direction finder 探向器 direction of polarization 极化方向 direction of propagation 传播方向 direction of rotation 旋转方向 directional antenna 指向天线 directional coupler 定向耦合器 directional etching 定向腐蚀 directional filter 方向滤波器 directional gain 定向系数 directional microphone 定向传声器 directional pattern 方向性图 directional radiation 指向性辐射 directional receiver 接收定向信号的接收机 directional reception 定向接收 directional transmission 定向发射 directional transmitter 定向发射机 directional wireless 定向无线电通信 directive gain 定向系数 directivity 方向性 directivity diagram 方向性图 directivity diagram laser 激光方向性图 directivity index 定向系数 directivity pattern 方向性图 directly heated cathode 直热式阴极 director 引向器 disable pulse 禁止脉冲 disc seal diode 盘封二极管 disc seal triode 盘封三极管 disc seal tube 盘封管 discharge 放电 discharge cleaning 放电清洗 discharge gap 放电间隙 discharge in gas 气体放电 discharge lamp 放电灯 discharge quenching 放电熄灭 discharge stability 放电稳定度 discharge stabilization 放电稳定化 discharge tube 放电管 discoloration 脱色 discone antenna 盘锥形天线 disconnect 断开 discontinuous film 不连续膜 discontinuous variations 不连续变异 discrete component 分立元件 discrete component circuit 分立元件电路 discrete device chip 分立芯片掐 discrete element 分立元件 discrete forurier transform 离散傅里叶变换 discrete integrated circuit 分立集成电路 discrete microcomponent 分立微型元件 discrete microprocessor 分立微处理机 discrete part 分立元件 discrete resistor 分立电阻器 discrete semiconductor 分立的半导体 discrete tuner 不连续党器 discrete value 离散值 discrete wiring 选择布线 discretionary integration 选择互连集成电 路 discretionary interconnections 选择互连 discretionary routed array 选择互连阵列 discretionary wiring pattern 选择布线图 51 discriminator 鉴别器 disk antenna 盘形天线 disk attenuator 圆盘衰减器 disk grinding 圆盘研磨 disk laser 盘形激光器 disk recorder 唱片录音机 dislocation 位错 dislocation boundary 位错边界 dislocation centre 位错中心 dislocation density 位错密度 dislocation group 位错群 dislocation line 位错线 dislocation loop 位错环 dislocation mechanism 位错机制 dislocation motion 位错运动 dislocation movement 位错运动 dislocation network 位错网 dislocation node 位错节 dislocation scatter 位错散射 dislocation source 位错源 dislocation spiral 位错螺旋线 dislocation step 位错阶梯 dislocation structure 位错结构 disorder 无规律 disordered crystal 无序晶体 dispatch telephone system 等电话系统 dispenser 剂量器分配器 dispenser cathode 储备式阴极 dispensing system 剂量器分配器 dispersion 频散 dispersion loss 弥散损耗 dispersion relation 频散关系 dispersive delay line 色散延迟线 dispersive fiber 弥散型纤维 dispersive medium 频散媒质 displacement damage 位移层错 display 显示装置 display device 显示装置 display holography 全息摄影术 display tube 显示管 disruptive voltage 哗电压 dissector 析象管 dissector tube 析像管 dissipation 耗散 dissipation power 耗散功率 dissociation laser 离解激光器 dissolution velocity 溶解速度 distance between lines 辐射线间距离 distance between mirrors 镜间距离 distance marks 距离标记 distance measuring equipment 测距设备 distance resolution 距离分辨能力 distilled water 蒸馏水 distorted image 失真图象 distorted wavefront 失真波前 distortion 失真 distortion effect 失真效应 distortion factor 失真系数 distortion meter 失真度测试仪 distortion tolerance 失真容限 distribustion device 分配装置 distributed amplification 分布放大 distributed amplifier 分布式放大器;分布放 大器 distributed circuitry 分布参数电路 distributed dynode 分布式二次放射极 distributed element equivalent circuit 分布 参数元件等效电路 distributed inductance 分布电感 distributed josephson logic circuit 分布参数 约瑟夫孙逻辑电路 distributed load 分布负载 distributing frame 接线板 distribution 分布 distribution block 接线板 distribution coefficient 分布系数 distribution network 配电网 distribution ratio 分布系数 distributor 接线板 disturbance 干扰 disturbed wavefront 干扰波阵面 divergence 发散 divergent light 发散光 diversity 差异 diversity receiver 分集接收器 diversity reception 分集接收法 divider 分配器 dmes fet 耗尽型肖特基场效应晶体管 52 dmnos structure dmnos 结构 dmos dmos 结构 dmosfet dmos 场效应晶体管 dmost 双扩散金属氧化物半导体晶体管 dmux 多路分配器 dolby integrated circuit 道尔比集成电路 domain 磁畴 domain structure 磁畴结构 domain wall 磁畴壁 dominant mode of propagation 传播的郑 dominant wave 吱 donor atom 施汁子 donor dopant 施钟质 donor impurity 施钟质 donor level 施周级 donor type semiconductor 施滞半导体 doorknob transformer 门钮形转换器 dopant 掺杂剂 dopant activation 掺杂剂活化 dopant atom 掺杂剂原子 dopant density 掺杂密度 dopant distribution 掺杂剂分布 dopant gas 掺杂剂气体 dopant gradient 掺杂梯度 dopant host 固体掺杂剂源 dopant predeposition 掺杂剂预淀积 dopant profile 掺杂分布图 dopant resist 掺杂剂抗蚀剂 dopant source 掺杂剂源 dope 掺杂剂 dope additive 掺杂剂 doped crystal 掺杂的晶体 doped junction 掺杂质结 doped polysilicon diffusion 掺杂的多晶硅 扩散 doper 掺杂装置 doping 掺杂 doping agent 掺杂剂 doping compensation 掺杂补偿 doping density 掺杂密度 doping depth 掺杂深度 doping level 掺杂级 doping mask 掺杂掩模 doping mask window 掺杂掩模窗口 doping profiler 掺杂轮廓仪 doping ratio 掺杂剂比率 doping type 掺杂型 dopos 掺杂的多晶硅扩散 doppler effect 多普勒效应 doppler frequency shift 多普勒频移 doppler halfwidth 多普勒半宽度 doppler linewidth 多普勒线宽 doppler navigation 多普勒导航 doppler shift 多普勒频移 doppler spectrum 多普勒频谱 doppler width 多普勒宽度 dosage concentration 掺杂浓度 doser 剂量器分配器 dot 点 dot alloying method 点合金法 dot and 点与 dot bar generator 点 条状图案信号发生器 dot frequency 点频率 dot generator 点状图案信号发生器 dot or 点或 double beam tube 双束射线管 double chamber vacuum deposition system 双室真空淀积系统 double clad optical fiber 双包层光学纤维 double crucible crystal growing apparatus 双 坩埚晶体生长装置 double crucible method 双坩埚方法 double current cable code 二态电缆码 double diffused diode 双扩散二极管 double diffused injector 双扩散注入器 double diffused metal oxide semiconductor dmos 结构 double diffused mos fet dmos 场效应晶体管 double diffused mos transistor 双扩散金属 氧化物半导体晶体管 double diffused process 双扩散 double diffusion 双扩散 double diffusion technique 双扩散工艺 double diode 双二极管 double doped transistor 双重掺架晶体管 double doping 双掺杂 double ended cord 两头塞绳 double ended micromodule 双端出线微型 53 组件 double epi process 双外延工艺 double epitaxy 双外延工艺 double heterojunction 双异质结 double heterojunction laser 双异质结型激 光器 double heterojunction semiconductor 双异 质结半导体 double heterostructure laser 双异质结型激 光器 double image 双像 double image signals 重影信号 double implanted mos 双重离子注入金属 氧化物半导体 double injection diode 双注入型二极管 double ion implanted process 双重离子注入 工艺 double junction semiconductor 双结半导体 double level metallization 双层金属化 double level polysilicon mos structure 双层 多晶硅金属氧化物半导体结构 double limiter 双向限幅器 double modulation 双重灯 double photoresist 双重光致抗蚀剂 double poly process 双层多晶硅栅金属氧 化物半导体工艺 double refraction 双折射 double side 双边的 double sideband transmission 双边带传输 double sided board 两面印制电路板 double triode 双三极管 double tuned amplifier 双党放大器 doubler 倍频器信压器 doublet 偶极子 doubling of the image 图像双重 doubly balanced modulator 双重平衡灯器 down conversion 降频转换 down converter 降频转换器 down scaled integration 按比列缩小集成电 路化 dpcm 差动式脉码灯 draft rate 漂移速度 drafter 坐标制图器绘图仪 drafting 制图 drafting sheet 制图板 drag antenna 拖曳天线 drain 漏极 drain and source diffusion 源 漏扩散 drain channel junction 漏极 沟道结 drain current 漏极电流 drain electrode 漏极 drain substrate junction 漏极 衬底结 dram 动态随机存取存储器 drawing 图 drift 漂移 drift current 漂移电流 drift length 漂移长度 drift mobility 漂移迁移率 drift space 漂移空间 drift speed 漂移速度 drift stabilized operational amplifier 漂移稳 定的运算放大器 drift transistor 漂移晶体管 drive unit 驱动装置 driven sweep 驱动扫掠 driver 驱动器激励器 driver tube 控制管 driving frequency 激励频率 driving pulse 起动脉冲 driving radiation 激励辐射 driving signal 驱动信号 driving stage 驱动级 driving system 驱动系统 drop 吊牌 drop in test array 薄片上的测试阵列 drop in test group 薄片上的测试芯片组 dropout 信号丢失 dry box 干燥箱 dry chemistry 干式化学处理 dry development 干式显影 dry etching 干腐蚀 dry etching apparatus 干腐蚀装置 dry etching reactor 干腐蚀反应器 dry film photo processing 干膜抗蚀剂光刻 工艺 dry film photoresist 干膜抗蚀剂 dry film resist 干膜抗蚀剂 dry oxidation 干氧化 54 dry oxygen ambient 干氧气氛 dry photoresist stripper 去干胶装置 dry process 干法工艺 dry processed integrated circuit 干法工艺集 成电路 dry processing 干式处理 dry resist stripping 去干胶 dryer 干燥装置 drying 干燥 drying agent 干燥剂 dt cut quarz dt 切割水晶 dtl 二极管晶体管逻辑 dtl zener diode 齐纳二极管晶体管逻辑电 路 dtlz 齐纳二极管晶体管逻辑电路 dual emitter transistor 双发射极晶体管 dual gate fet 双栅场效应晶体管 dual in line integrated circuit 双列直插式集 成电路 dual in line lead frame 双列直插式引线框 架 dual in line package 双列直插式外壳 dual modulation 双重灯 dual surface lithography 双面光刻 dual trace oscillograph 双线示波器 duality principle 对偶原理 duct 波导 duct width 波道宽度 ducting 大气波导现象 dumb antenna 失奠线 dummy 假的 dummy aerial 假天线 dummy antenna 假天线 dummy load 模拟负载 duplex 全双工 duplex operation 双工工作 duplex system 双工制 duplexer 天线收发转换开关 duplexing 双工工作 duplication 复印 duplicator 复印机 durability 耐久性 dust 粉末 dust controlled area 除尘控制区 dust free room 无尘室 dust proof box 防尘密封箱 dusting 除尘 duty cycle 工宗 duty factor 线圈间隙因数 dycmos integrated circuit 动态互补金属氧 化物半导体集成电路 dye 染料 dye cell 染料盒 dye penetrant test 染料渗透试验 dye reservoir 染料容器 dye saturation 色饱和 dye solution 染料溶液 dye temperature 染料温度 dye vapour 染料蒸气 dynamic burn in 动态强化试验 dynamic characteristic 动态特性 dynamic convergence 动态会聚 dynamic deposition 动态淀积 dynamic distortion 动态失真 dynamic microphone 电动式传声器动圈式 话筒 dynamic ram 动态随机存取存储器 dynamic random access memory 动态随机 存取存储器 dynamic range 动态范围 dynamic sensitivity 动态灵敏度 dynatron effect 负阻效应 dynatron oscillation 负阻管振荡 dynatron oscillator 负阻管振荡器 dynode 倍增管电极 dynode system 倍增管电极系统 dyotron 微波三极管 e beam 电子束 e beam pattern gereration 电子束成象 e jfet 增强型结式场效应晶体管 e mail 电子函政 early warning radar 远程警戒雷达 early warning station 远程警戒雷达 earom 电改写只读存储器 earphone 头带受话器耳机 earpiece 受话坪盖 earth atmosphere 地球大气层 55 earth current 大地电流 earth image 地球图象 earth radiation 地球辐射 earth satellite 人造地球卫星 earth screen 接地屏蔽 earth shadow 地球影 earth station 地面无线站 earth terminal 接地端子 earth's surface 地球表面 eb 电子束 ebes 电子束曝光器 ebl 电子束蚀刻 eccles jordan circuit 厄克勒斯 约但电路 echo 回波 echo attenuation 回声衰减 echo box 回波谐振器 echo equalizer 回声抑制器 echo signal 回波信号 echo sounding 回声探测 echo suppressor 回波抑制器 echo trap 回声抑制器 ecl 射极耦合逻辑 ecl slice 位片射极耦合逻辑微处理机 edge acuity 图像边缘清晰度 edge contact area 边缘接触面 edge definition 图像边缘清晰度 edge dislocation 边缘位错 edge effect 边缘效应 edge emission 边缘发射 edge fuzziness 图形边缘模糊 edge irregularity 边缘不规则性 edge placement 边缘布置 edge sharpness 图像边缘清晰度 editelectron lens 电子透镜 edmos 增强型 耗尽型模式金属氧化物半 导体 eerom 电可擦只读存储器 ef 射极跟随器 effective area 有效面积 effective call 有效呼叫 effective cross section 有效截面 effective cut off frequency 有效截止频率 effective height 有效高度 effective radiated power 有效发射功率 effective target area 有效靶面积 effective wavelength 有效波长 efficiency 效率 efl 射极跟随七辑 einstein relation 爱因斯坦关系式 ejected electron 放出电子 ejection 抽出 ejector 喷射泵 ejector pump 喷射泵 el 电子束蚀刻 elastic collision 弹性碰撞 elastic deformation 弹性变形 elastic surface wave device 弹性表面波掐 electret microphone 驻极体传声器 electric arc 电弧 electric conductivity 电导率 electric dipole 电偶极子 electric field gradient 电场梯度 electric field strength 电场强度 electric focusing 电聚焦 electrical communication 电气通信 electrical conduction 电导 electrical inspection 电参数检查 electrically alterable read only memory 电改 写只读存储器 electrically conductive adhesive 导电性胶 粘剂 electrically erasable read only memory 电可 擦只读存储器 electrically floating region 电浮区 electrically programmable read only memory 电可编程序只读存储器 electrino 电微子 electro optic cell 电光元件 electro optic device 电光元件 electroacoustic transducer 电声换能器 electrochemical deposition 电化学淀积 electrochemical grinding 电化学研磨 electrode 电极 electrode geometry 电极几何形状 electrode separation 电极间距 electrode spacing 电极间距 electrodeless discharge 无电极放电 electrodeposition 电解沉积 56 electroendosmosis 电渗 electroerosion machining 电腐蚀加工 electroetching 电蚀刻 electroless deposition 化学淀积 electroless plating 化学淀积 electroless processing 化学淀积 electroluminescence 电致发光 electroluminescent display 场致发光显示器 electroluminescent element 场致发光元件 electroluminescent faceplate 电发光荧光屏 electroluminescent screen 电发光荧光屏 electrolysis 电解 electrolyte semiconductor junction 电解质 半导体结 electrolytic anodization 电解阳极氧化 electrolytic bath 电解槽 electrolytic deposition 电解沉积 electrolytic etching 电解腐蚀 electrolytic grinding 电解磨削 electrolytic ionization 电解电离 electrolytic oxidation 电解氧化 electrolytic polishing 电解抛光 electromagnet 电磁铁 electromagnetic lens 电磁透镜 electromagnetic microphone 电磁微音器电 磁传声器 electromagnetic pickup 电磁拾音器 electromagnetic receiver 电磁式受话器 electromagnetic scrub 电磁清洗 electromicrometer 电子测微计 electromicrometry 电子测微法 electromigration immunity 电迁移耐力 electromigration resistance 电迁移耐力 electron 电子 electron accelerator 电子加速器 electron affinity 电子亲和力 electron beam 电子束 electron beam aligner 电子束曝光器 electron beam alignment 电子束对准 electron beam annealer 电子束退火装置 electron beam annealing 电子束退火 electron beam bonding 电子束焊接 electron beam deposition 电子束沉积 electron beam device 电子束装置 electron beam direct write on wafer system 薄片上电子束直接绘图系统 electron beam evaporation 电子束蒸发 electron beam evaporator 电子束蒸发器 electron beam exposer 电子束曝光器 electron beam exposure 电子束曝光 electron beam exposure system 电子束曝光 器 electron beam generated mask 电子束技术 制造的掩模 electron beam heating 电子束加热 electron beam image repeater 电子束步进 重复照相机 electron beam imaging 电子束成象 electron beam lithography 电子束蚀刻 electron beam mask 电子束掩膜 electron beam mask generator 电子束掩模 图象发生器 electron beam mask system 电子束掩模制 造系统 electron beam metalization 电子束金属化 electron beam pattern writer 电子束绘图机 electron beam patterning 电子束成象 electron beam printing 电子束蚀刻 electron beam probe 电子束探针 electron beam probing 电子束探测 electron beam processing 电子束加工 electron beam projection 电子束投影 electron beam projector 电子枪 electron beam pumped laser 电子束抽运激 光器 electron beam recording 电子束记录 electron beam resist 电子束抗蚀剂 electron beam reticle 电子束掩膜 electron beam technology 电子束工艺 electron beam tube 电子射线管 electron beam welding 电子束焊接 electron beam writing 电子束成象 electron bombardment 电子轰击 electron bunch 电子聚束 electron chrome mask 电子束光刻用铬掩 模 electron collector 电子显微镜的集电极 electron conduction 电子导电 57 electron continuity equation 电子连续性方 程 electron cooling 电子冷却 electron coupled oscillator 电子耦合振荡器 electron coupling 电子耦合 electron current 电子电流 electron cyclotron 电子回旋加速器 electron density 电子密度 electron device 电子掐 electron diffraction 电子衍射 electron diffusion length 电子扩散长度 electron discharge machining 电子放电加工 electron display tube 电子显示管 electron drift 电子漂移 electron emission 电子发射 electron emitter 电子发射体 electron envelope 电子壳层 electron gas 电子气 electron gun 电子枪 electron hole avalanche 电子 空穴雪崩 electron hole pair 电子空穴对 electron hole pair generation 电子空穴对发 生 electron hole recombination 电子 空穴复合 electron hole scatter 电子 空穴散射 electron image projection 电子图象投影 electron image projector 电子投影装置 electron impact 电子轰击 electron injection 电子注入 electron injector 电子注射器 electron ion recombination 电子 离子复合 electron irradiated silicon 电子束照射硅 electron irradiation 电子辐照 electron lens 电子透镜 electron linear accelerator 电子直线加速器 electron microprobe 电子探针 electron microscope 电子显微镜 electron multiplier 电子倍增器 electron optical image converter 电子光学 图象转换器 electron optical system 电子光学系统 electron optics 电子光学 electron pair 电子偶 electron physics 电子物理学 electron polarization 电子极化 electron population 电子布居 electron ray indicator tube 电子射线指示管 electron resist 电子束抗蚀剂 electron scattering 电子散逸 electron sensitive emulsion 电子灵敏乳胶 electron sensitive resist 电子束抗蚀剂 electron shell 电子壳层 electron source 电子源 electron spin 电子自旋 electron spin resonance 电子自旋共振 electron switch 电子开关 electron synchrotron 电子同步加速器 electron technology 电子技术 electron theory 电子论 electron trajectory 电子轨道 electron transition 电子跃迁 electron trap 电子陷阱 electron tube 电子管 electron tunneling 电子隧道效应 electron vacancy 电子空位 electron valve 电子管 electron velocity 电子速度 electronic 电子的 electronic bionics 电子仿生学 electronic component 电子线路元件 electronic computer 电子计算机 electronic conductivity 电子电导率 electronic control 电子控制 electronic counter 电子计数器 electronic display 电子显示 electronic efficiency 电子效率 electronic engineering 电子工程学 electronic grade chemical 电子工业纯度级 化学试剂 electronic grade gas 电子工业纯度级气体 electronic grade solvent 电子工业纯度级溶 剂 electronic grade water 电子工业纯度级水 electronic instrument 电子系仪表 electronic mail 电子函政 electronic microwave tube 微波电子管 electronic organ 电子琴 electronic rectifier 电子整流 58 electronic scanning 电子扫描 electronic semiconductor 电子半导体 electronic shutter 电子快门 electronic spectroscope 电子分光镜 electronic switch 电子开关 electronic switching 电子开关 electronic tuning 电子党 electronics 电子学 electronimage 电子图象 electronmirror 电子镜 electrooptical deflector 电光偏转器 electrooptical effect 电光效应 electrooptical modulator 电光灯器 electrooptical q switch 电光 q 开关 electrooptical shutter 电光快门 electrooptical technology 光电技术 electrooptics 电光学 electroosmosis 电渗 electroplating 电解沉积 electropolishing 电解抛光 electrorheograph 电流 electrostatic accelerater 静电加速器 electrostatic charge 静电电荷 electrostatic deflection 静电偏转 electrostatic discharge 静电放电 electrostatic elimination 静电消除 electrostatic field 静电场 electrostatic focusing 静电聚焦 electrostatic lens 静电透镜 electrostatic receiver 静电式受话器 electrostatic wafer chuck 静电薄片夹头 electrostatical loudspeaker 静电扬声器 electrotinning 电镀锡 element 元件 element density 元件密度 element redundancy 元件冗余 elemental semiconductor 元素半导体 elementary charge 基本电荷 elementary mos device 分立金属氧化物半 导体掐 elevated duct 架空管道 elevation accuracy 仰角精度 ellipsometer 椭圆计 elliptic polarization 椭圆偏振 elliptical fiber 椭圆型纤维 elliptical waveguide 椭圆波导 elliptically polarized light 椭圆偏振光 elliptically polarized wave 椭圆偏振波 ellipticity 椭圆率 elongation 延长 elsi 特大规模集成 emergency call 紧急呼叫 emesfet 增强型肖特基势垒场效应晶体管 emfet 增强型场效应晶体管 emission 发射 emission band 发射光谱带 emission efficiency 发射效率;放射效率 emission line 发射谱线 emission linewidth 辐射谱线宽度 emission transition 辐射跃迁 emissive power 发射能力 emissivity 发射能力 emitted electron 放出电子 emitted light 发射光 emitter 发射极 emitter base diode 发射极 基极二极管 emitter base junction 发射极 基极结 emitter bias 射极偏压 emitter branch 发射极支路 emitter coupled logic 射极耦合逻辑 emitter coupled logic gate 射极耦合逻辑门 emitter current 发射极电流 emitter diffusion 发射极扩散 emitter diffusion opening 发射极扩散窗 emitter dip 发射极陷落 emitter electrode 发射电极 emitter follower 射极跟随器 emitter follower input 输入射极跟随器 emitter follower logic 射极跟随七辑 emitter function logic 射极功能逻辑 emitter impurity concentration 发射区杂质 浓度 emitter ion implantation 发射区离子注入 emitter mask 发射极掩模 emitter push 发射极陷落 emitter region 发射极区 emitter terminal 发射极端子 emitting antenna 发射天线 59 emitting diode 发光二极管 emitting layer 放射层 emitting surface 放射面 emos 增强型金属氧化物半导体场效应晶 体管 emosfet 增强型金属氧化物半导体场效应 晶体管 emphasizer 加重器 empty band 空带 empty level 空能级 emulsifier 乳化剂 emulsion 感光乳胶 emulsion build up 乳胶层加厚 emulsion mask 乳胶光掩模 emulsion mask pattern 乳胶掩模图形 emulsion photomask 乳胶光掩模 emulsion plate 乳胶光掩模 enable 充讦操作 enable pulse 启动脉冲 enabling pulse 启动脉冲 encapsulant 密封剂 encapsulated component 封装元件 encapsulated hybrid 封装混合电路 encapsulated integrated circuit 封装集成电 路 encapsulation 密封 encapsulation mold 封装用塑模 enclosure 外壳 encoder 编码器号码机 encoder system 编码系统 encoding 编码 end capacitance 终局容量 end effect 边缘效应 end point 终点 end point detection 终点检测 endodyne 自差 endotron 振荡管 endurance 强度 energy 能量 energy absorption 能量吸收 energy balance 能量平衡 energy band 能带 energy band structure 能带结构 energy barrier 能量垒 energy density 能量密度 energy distribution 能量分布 energy flow 能流 energy gap 禁带宽度 energy level 能级 energy level diagram 能级图 energy level difference 能级差 energy loss 能量损耗 energy of a quantum 量子能量 energy of plasma 等离子体能量 energy output 输出能量 energy pulse bonding 脉冲焊 energy resolution 能量分辨率 energy spectrum 能谱 energy state 能量状态 energy supply 电源 energy transfer 能量转移 engaged 占线的 engaged line 占线 engaged signal 占线信号 engaged test 占线测试 engineering 工程 engineering reliabilty 工程可靠性 engraving 雕刻 enhanced diffusion 增强扩散 enhancement 增强 enhancement channel 增强型沟道 enhancement depletion mos 增强型 耗尽型 模式金属氧化物半导体 enhancement mode 增强型 enhancement mode fet 增强型场效应晶体 管 enhancement mode fet integrated circuit 增 强型场效应晶体管集成电路 enhancement mode junction fet 增强型结式 场效应晶体管 enhancement mode operation 增强型动作 enhancement mos 增强型金属氧化物半导 体场效应晶体管 enhancement type schottky barrier fet 增强 型肖特基势垒场效应晶体管 ensemble 组 entropy 熵 envelope 外壳 60 envelope delay 群时延 envelope detector 包络检波器 environment 环境 environment cabinet 人造环境室 environment chamber 人造环境室 environmental contamination 环境污染 environmental handler 环境控制器 environmental test 环境试验 epi 外延层 epi island 外延岛 epic 外延钝化集成电路 epic approach 外延钝化集成电路方法 epifilm 外延膜 epilayer 外延层 epiplanar device 外延平面掐 epiplanar technology 外延平面工艺 epitaxial body 外延衬底 epitaxial collector 外延集电极 epitaxial cvd 外延化学汽相淀积 epitaxial deposition 外延淀积 epitaxial edge grown 外延层边缘生长 epitaxial film 外延膜 epitaxial furnace 外延生长炉 epitaxial growth 外延生长 epitaxial integrated circuit 外延集成电路 epitaxial junction 外延结 epitaxial layer 外延层 epitaxial passivated integrated circuit 外延 钝化集成电路 epitaxial planar transistor 外延平面晶体管 epitaxial pocket 外延阱区 epitaxial reactor 外延生长炉 epitaxial region 外延区 epitaxial regrowth 外延层再生长 epitaxial slice 外延生长薄片 epitaxial solution 外延溶液 epitaxial spike 外延层凸起部分 epitaxial substrate film 外延衬底膜 epitaxial transistor 外延型晶体管 epitaxial wafer 外延生长薄片 epitaxially grown film 外延膜 epitaxially grown junction 外延结 epitaxy 外延 epoxy 环氧尸 epoxy adhesive 环氧尸粘合剂 epoxy coating 环氧尸涂层 epoxy cure 环氧尸硬化 epoxy die attachment 环氧尸芯片粘合 epoxy die bonder 环氧矢芯片接合器 epoxy dispenser 环氧尸配量器 epoxy dispensing system 环氧尸配量器 epoxy encapsulant 环氧尸密封剂 epoxy encapsulation 环氧尸密封 epoxy glass 环氧玻璃 epoxy hardener 环氧尸硬化剂 epoxy laminate 环氧迭层片 epoxy molding 环氧尸模制 epoxy package 环氧尸外壳 epoxy potting 环氧尸密封 epoxy sealing 环氧尸密封 eprom 电可编程序只读存储器 equalization 均衡 equalizer 均衡器 equalizing pulse 平衡脉冲 equi energy spectrum 等能量谱 equilibrium 平衡 equilibrium carrier 平衡载劣 equilibrium carrier density 平衡载劣密度 equilibrium conditions 平衡条件 equilibrium diagram 平衡状态图 equilibrium energy 平衡能量 equilibrium orbit 平衡轨道 equilibrium phase 平衡相 equilibrium state 平衡状态 equilibrium value 平衡值 equiphase zone 等相位区 equisignal line 等信号线 equisignal radio beacon 等信号无线电信标 equisignal zone 等信号区 equivalant resistance 等效电阻 equivalent circuit 等效电路 equivalent gate 等效门 equivalent gate complexity 等效门复杂度 erasable programmed read only memory 可 擦可编程序只读存储器 erasing 取消 erasing head 消磁头 erasing speed 擦去速度 61 erlang 厄兰 erlangmeter 厄兰计 erratic doping 不规则掺杂 error 误差 error constant 系统错误 error correcting 误差校正 error correcting code 错误校正码 error correction 误差校正 error function diffusion 误差函数扩散 error function distribution 误差函数分布 error rate 故障率 error signal 误差信号 esaki effect 江崎效应 esaki junction 江崎结 esbt 增强型肖特基势垒场效应晶体管 esd 静电放电 etch 腐蚀 etch bath 腐蚀槽 etch depth 腐蚀深度 etch end point 腐蚀终点 etch factor 腐蚀系数 etch figure 蚀刻图形 etch moat 腐蚀槽 etch out backfill isolation 腐蚀 反填充隔离 etch pit 腐蚀坑 etch polishing 腐蚀抛光 etch profile 腐蚀断面图 etch rate 腐蚀速率 etch ratio 腐蚀速度比 etch removal 腐蚀除去 etch resistant layer 抗腐蚀层 etch response 腐蚀灵敏度 etch rinse processor 薄片腐蚀 冲洗装置 etch stop layer 腐蚀停止层 etch tank 腐蚀槽 etch taper 腐蚀锥度 etch trench 腐蚀槽 etchant 蚀刻剂 etchant gas 腐蚀气体 etchant regeneration system 腐蚀剂再生装 置 etchant solution 腐蚀溶液 etched mesa 腐蚀台面 etched pattern 蚀刻图形 etched printed circuit 浸蚀法印刷电路 etched surface 腐蚀面 etcher 腐蚀器 etching 腐蚀 etching agent 蚀刻剂 etching anisotropy 腐蚀蛤异性 etching bias 腐蚀锥度 etching chemical 蚀刻剂 etching gas mixture 腐蚀用气体混合物 etching mask 腐蚀掩模 etching reactor 腐蚀反应器 etching test 蚀刻试验 eureka 欧锐卡 eutectic alloy 共晶合金 eutectic brazing 共晶软钎焊 eutectic coverage 共晶合金覆盖 eutectic die attachment 共晶芯片连接 eutectic die bonder 共晶芯片焊接装置 eutectic preform 共晶合金盘料 eutectic solder 易熔质焊料 eutectic vibration brazing 共晶合金振动钎 焊法 evacuated chamber 真空室 evacuated display tube 真空显示管 evacuation 排气 evacuation rate 抽气速度 evaporant 蒸发剂 evaporated bump 蒸发的隆起焊盘 evaporation 蒸发 evaporation chamber 蒸发室 evaporation charge 蒸发装料 evaporation coating 蒸发镀膜 evaporation source 蒸发源 evaporator 蒸发装置 even harmonic 偶次谐波 exact registration 精密对准 exactitude 准俑 exactness 准俑 exception handling 异常处理 excess carrier 过剩载劣 excess density 过量密度 excess holes 过剩空穴 excessiveness 冗余 exchange 交换 62 exchange area 电话交换区 exchange busy hour 电话局忙时 exchange energy 交换能量 excimer laser 准分子激光器 excimer laser etching 准分子激光腐蚀 excising 切割 excising lead former 链式带芯片切割/引线 成形机 excitation 激励 excitation anode 激励阳极 excitation collision 激发碰撞 excitation conditions 激发条件 excitation cross section 激发截面 excitation level 激发能级 excitation luminescence 激励发光 excitation probability 激发概率 excitation radiation 激发辐射 excitation threshold 激励阈值 excitation time 激励时间 excitation voltage 激励电压 excited atom 受激原子 excited level 受激能级 excited molecule 受激分子 excited state 激励状态 excited state lifetime 激发状态寿命 excited wave 受激波 exciter 激励器 exciting current 励磁电流激励电流 exciting field 激励场 exciting light 激发光 exciton 激发子 excitron 激励管 exhaustion 排气 exhaustion rate 抽气速度 expandable gate 可扩展门电路 expander 扩展器膨胀器 expansion 扩展 expansion coefficient 膨胀系数 expansion ratio 膨胀系数 expected life 预估寿命 expert system on a chip 专家系统芯片 explosion 爆发 exposed film 曝露的膜 exposed region 曝露区 exposed surface 曝露面 exposer 曝光器 exposing radiation 曝光辐射 exposure 曝光 exposure dose 照射剂量 exposure field 辐照场 exposure meter 曝光计 exposure monitor 曝光计 exposure source 曝光辐射源 exposure wavelength 曝光辐射波长 extension 扩展 extension telephone 电话分机 exterior antenna 室外天线 external component 外元件 external memory 外存储器 external modulation 外部灯 external photoelectric effect 外部光电效应 extinction 消光 extra high pressure discharge 超高压放电 extra high vacuum 超高真空 extra large scale integration 特大规模集成 extranuclear electron 核外电子 extraordinary beam 非常光线 extraordinary ray 非常光线 extraordinary wave 异常波 extrinsic conduction 杂质导电 extrinsic conductivity 非本甄导率 extrinsic properties 非本赵质 extrinsic semiconductor 含杂质半导体 f prom 现场可编程序只读存储器 f scope f 型显示器 f/f 触发电路 fabrication facilities 生产设备 fabrication processing 工艺处理 fabry perot laser 法布里 珀罗激光器 face 晶面 face centered lattice 面心立方晶格 face centred cubic lattice 面心立方晶格 face down bonding 倒装焊接 face down chip 倒装芯片 face down integrated circuit 倒装芯片集成 电路 faceplate 面板 63 facility 设备 facsimile 传真 facsimile apparatus 传真机 facsimile coding 传真编码 facsimile communication 传真通信 facsimile equipment 摹写通信设备 facsimile information 传真信息 facsimile network 传真网 facsimile picture 传真图像 facsimile receiver 传真接收机 facsimile system 传真系统 facsimile telegram 传真电报 facsimile telegraphy 传真电报 facsimile transmitter 传真发送机 factor 倍增器 factory programmable chip 制造厂家可编 程序集成电路 factory programmable read only memory 制 造厂家可编程序只读存储器 fade in 淡入 fadeout 淡出 fader 音量控制器 fading 衰减 fading by absorption 吸收衰落 fading by interference 干扰性衰落 fading compensate antenna 抗衰落犬线 failure 故障 failure rate 故障率 false 错误的 false echoes 假回波 false signal 错误信号 family 族 family of characteristics 特性曲线族 famos 浮栅雪崩注入型金属氧化物半导体 fan aerial 扇形天线 fan antenna 扇形天线 fan in 扇入端数 fan marker 扇形指示器 fan out 扇出端输数 fan out capability 扇出能力 far contact printer 接近式曝光装置 far end crosstalk 运端串扰 far infrared laser 远红外激光器 far infrared radiation 远红外辐射 far infrared ray 远红外线 far ultraviolet laser 远紫外激光器 far ultraviolet radiation 远紫外辐射 far uv laser 远紫外激光器 faraday cylinder 法拉第圆筒 faraday rotation 法拉第旋转 fast coincidence circuit 高速符合电路 fast detector 快速探测器高速检测器 fast diffusant 快扩散杂质 fast electron 快电子 fast fourier transform 快速傅里叶变换 fast pumpdown 高速抽气 fast resist 高灵敏光刻胶 fast response 快速响应 fatigue 疲劳 fatigue failure 疲劳破坏 fault 故障 fault localization 故障探测 fault locating 故障探测 fault tolerance 失效容差 faultless mask 无缺陷掩模 fax 传真 fax communication 传真通信 fdisply f 型显示器 fdm 频分多路传输 fdx 全双工 fdx operation 双工工作 feature 结构元件 feature dimension 形体尺寸 feature edge 形体边缘 feature placement 形体布置 feed 供给 feed holes 输送孔 feedback 反馈 feedback amplifier 反馈放大器 feedback circuit 反馈电路 feedback coil 回授线圈 feedback factor 反馈系数 feedback loop 反馈电路 feedback network 反馈电路 feedback oscillator 反馈振荡器 feedback ratio 反馈系数 feedback resistance 反馈电阻 feeder 馈电线;给料器馈电装置 64 feedforward 正反馈 feeding 供给 fermi distribution 费米迪拉克分布 fermi level 费米能级 ferrimagnetism 铁氧体磁性 ferrite 铁氧体 ferrite circulator 铁氧体循环器 ferrite film 铁氧体薄膜 ferrite phase modulator 铁氧体掂器 ferrite phase shifter 铁氧体移相器 ferrite resonator 铁氧体谐振器 ferrite rod antenna 铁氧体棒形天线 ferrite switch 铁氧体开关 ferrite waveguide 铁氧体波导管 ferroelectric 铁电体 ferroelectric film 铁电薄膜 ferroelectricity 铁电性 ferromagnet 铁磁体 ferromagnetic film 铁磁膜 ferromask 氧化铁掩模 fet 场效应晶体管 fet amplifier 场效应晶体管放大器 fet array 场效应晶体管阵列 fet channel 场效应晶体管沟道 fet input 输入场效应晶体管 fet oscillator 场效应晶体管振荡器 ff 触发电路 fgt 浮栅金属氧化物半导体晶体管 fiber 纤维 fiber axis 纤维轴 fiber cable 纤维光缆的 fiber core 纤维芯 fiber glass 玻璃纤维 fiber glass epoxy laminate 玻璃纤维环氧尸 迭层板 fiber glass optics 玻璃纤维光学 fiber laser 纤维激光器 fiber loss 纤维损失 fiber optic cable 纤维光缆的 fiber optic sensor 光学纤维敏感元件 fiber optic system 光学纤维系统 fiber optics communication 光学纤维通信 fibl 聚焦离子束光刻 fic 膜集成电路 fidelity 准俑 fiducial cross 基准十字标线 fiducial mark 对准记号 field 场 field alterable control element 现场可变控 制元件 field blanking 场消隐 field by field alignment 芯片的分步重复对 准 field component 场分量 field convergence 场会聚 field deflection 场偏转 field deflection oscillator 场偏转振荡器 field desorption 场解吸 field distribution 场分布 field divider 场分频器 field effect 场效应 field effect device 场效应掐 field effect phototransistor 场效应光电晶体 管 field effect tetrode 四极场效应晶体管 field effect transistor 场效应晶体管 field emission 电场发射 field emission cathode 场致发射阴极 field emission electron microscope 场致发 射电子显微镜 field emission ion microscope 场致发射离 子显微镜 field emission microscope 场致发射显微镜 field emitter 场致发射阴极 field evaporation 场致蒸发 field frequency 场频 field insulation 场氧化物隔离 field inversion 电场反转 field ion emission 场致离子发射 field ionization gauge 场致电离真空计 field of view 视野 field oxidation 场氧化 field oxide film 场氧化膜 field oxide implantation 场氧化层离子注入 field oxide isolator 场氧化绝缘层 field oxide region 场氧化区 field pick up 室外摄影 field programmable logic array 现场可编程 65 序逻辑阵列 field programmable logic family 现场可编 程序逻辑集成电路系列 field programmable logic integrated circuit 现场可编程序逻辑集成电路 field programmable read only memory 现场 可编程序只读存储器 field quantum 场量子 field strength 场强 field strength meter 场强计 field synchronization 场同步 fieldistor 场效应晶体管 fight path computer 飞行航线计算机 filament 灯丝 filament voltage 灯丝电压 filamentary cathode 直热式阴级 filamentary transistor 线状晶体管 filled adhesive 填充的粘合剂 filled band 满带 filled level 满带能级 filled shell 满壳层 filler 填料 filling 填充 filling factor 线圈间隙因数 film 薄膜 film carrier 膜形载体 film carrier assembly 膜式载体组装 film carrier bonding 膜形载体上芯片接合 film chip carrier 膜形芯片载体 film circuit assembly 薄膜电路组装 film circuitry 薄膜电路 film conductor 薄膜导体 film electronics 薄膜电子学 film integrated circuit 膜集成电路 film mounted ic 膜载组装的集成电路 film reader 影片扫描器影片阋读机 film resistor 薄膜电阻器 film scanner 影片扫描器影片阋读机 film technique 薄膜工艺 film waveguide 薄膜波导管 filter 滤波器 filter choke 滤波扼力 filter hybrid 滤波旗合电路 filtering 滤波 fin 散热片 final amplifer 终端放大器 final inspection 最后检查 final selector 终接器 final smoothing 最终精磨 final stage 末级 final state 最终状态 final vacuum 极限真空度 final yield 最后成品率 finder 无线电测向器 finding 搜索寻线 fine adjustment 微调 fine alignment 精密对准 fine control 精密控制 fine featured resist 精细结构光刻用抗蚀剂 fine finish 精加工 fine geometry mask 细致几何形状掩模 fine grain 细粒 fine leak 微弱漏 fine line 细线 fine line definition 精细线形成 fine line emulsion 高分辨力乳胶 fine line geometry 精细线几何尺寸 fine line integrated circuit 精细线集成电路 fine line lithography 细线光刻 fine line metallization 精细线金属化 fine line pattern 精细线图形 fine line resolution 细线分辨力 fine linewidth pattern 精细线图形 fine link mask 细致几何形状掩模 fine pattern fabrication 精细图案制造 fine pattern geometry 精细线几何尺寸 fine pattern integrated circuit 精细线集成电 路 fine pattern printing 细线光刻 fine registration 精密对准 fine tuning 细调 finger 梳形物 finger gate 梳状栅 finish 精加工 finish machining 最后加工 finishing groove 精加工槽 finned heat sink 翅式散热片 fip 固定互连图像 66 fipos 多孔氧化硅完全隔离 firing 点火 firing profile 烧结温度分布图 firing temperature 烧成温度 firmware building block 固件构成分程序 first anode 第一阳极 fissure 微裂纹 fitting 匹配 five unit code 五单位制电码 fixed aerial 固定天线 fixed antenna 固定天线 fixed attenuator 固定衰减器 fixed capacitor 固定电容器 fixed carrier 固定载劣 fixed charge 固定电荷 fixed echo 固定目标的回波 fixed error 系统错误 fixed frequency 标定频率 fixed frequency cyclotron 固定频率回旋加 速器 fixed frequency laser 固定频率激光器 fixed grid 固定格栅 fixed interconnection pattern 固定互连图像 fixed interconnection pattern approach 固定 互连图像方法 fixed interconnections 固定布线 fixed pattern metallization 固定图案金属化 fixed programming 固定程序设计 fixed resistor 固定电阻器 fixed service 定点通信业务 fixed target 固定目标 fixed wiring 固定布线 fixing 固定 fixture 安装用具 fl prom 熔丝连接可编程序只读存储器 flag 标志 flame excitation 火焰激发 flame spectrum 火焰光谱 flange coupling 法兰连接 flap attenuator 刀型衰减器 flash 闪光 flash compression 爆炸压缩 flash duration 闪光持续时间 flash evaporation 快速蒸发 flash evaporator 闪蒸蒸发器 flash getter 蒸发吸气剂 flash point 闪点 flash test 高压绝缘试验 flash tube 闪光管 flashlamp 闪光灯 flashtube 闪光灯 flat band 平带 flat cable 带状电缆 flat mirror 平面镜 flat pack 扁平管壳 flat pack assembler 扁平封装装置 flat pack integrated circuit 扁平封装集成电 路 flat package 扁平管壳 flat panel display device 平面显示掐 flat top aerial 平顶天线 flat top antenna 平顶天线 flat tuning 粗调平直党 flating potential grid 自由栅极 flatness checker 平面度检测器 flaw 裂纹 fleming valve 佛莱铭管 flexibility 柔顺性 flexible carrier 软性载体 flexible coupling 弹性连接 flexible machining system 灵活加工系统 flexible printed circuit 软性印制电路 flexible programming 软程序设计 flexible waveguide 可弯曲波导管 flicker 闪烁 flicker effect 闪变效应 flicker frequency 闪烁频率 flicker noise 闪变噪声 flight simulator 飞行摸拟器 flip chip 倒装芯片 flip chip approach 倒装法 flip chip bonder 倒装焊接机 flip chip bonding 倒装焊接 flip chip bump 倒装芯片隆起焊盘 flip chip carrier 倒装芯片座 flip chip integrated circuit 倒装芯片集成电 路 flip chip method 倒装法 67 flip flop 触发电路 flip flop circuit 触发电路 float zone crystal 浮区熔化晶体 float zone growth 浮区生长 float zone method 浮区提纯法 floated 浮动的 floating 浮动的 floating crucible technique 浮置坩埚技术 floating gate 浮置栅极 floating gate avalanche injection mos 浮栅 雪崩注入型金属氧化物半导体 floating gate fet 浮栅场效应晶体管 floating gate ic 浮栅集成电路 floating gate mos 浮栅金属氧化物半导体 floating gate silicon process 浮栅硅金属氧 化物半导体工艺 floating gate transistor 浮栅金属氧化物半 导体晶体管 floating grid 自由栅极 floating island 浮岛 floating junction 浮动结 floating point calculation 浮动小数点运算 floating zone melting 浮区熔化 floating zone refining 浮区提纯 floating zone silicon 浮区熔化硅 floating zone techique 浮区提纯法 floating zone technique 浮区提纯技术 flood exposure 整片曝光 floor planning 元件平面布置 flow 流 flow of electrons 电子流 flow soldering 射粮接 flow temperature 怜温度 flowing gas laser 气两激光器 fluctuating signal 起伏信号 fluctuation noise 起伏噪声 fluctuations 起伏现象 fluid free vacuum 无油真空 fluid sensor 铃传感器 fluidity 怜性 fluidized bed coating 怜层涂法 fluidized bed packaging 怜层密封 fluorescence spectrum 荧光光谱 fluorescent lamp 荧光灯 fluorescent screen 荧光屏 fluorite 萤石 flusher 冲洗器 flutter 颤动;电视图象的颤动现象 flutter effect 颤动效应 flux 焊剂 flux free bonding 无焊药焊接 flux free soldering 无焊药焊接 fluxer 焊剂涂敷器 fluxing agent 焊剂 flyback 光的回程 flyback blanking 逆程消隐 flying spot scanner 飞点扫描器 flying spot scanning 飞点扫描法 flywheel synchronization 飞轮同步 fm 频率灯 fm radar 档雷达 fm receiver 档接收机 focal distance 焦距 focal length 焦距 focal spot 焦点 focus 焦点 focus rays 集聚射线 focused beam laser 聚焦束激光器 focused ion beam lithography 聚焦离子束 光刻 focusing 聚焦 focusing by accelerating field 加速场聚焦 focusing coil 聚焦线圈 focusing electrode 聚焦电极 focusing field 聚集场 focusing magnet 聚焦磁铁 focusing system 聚集装置;聚焦系统 focusing unit 聚焦装置 fog 黑斑 folded dipole 折合偶极子 forbidden band 禁带 forbidden bandwidth 禁带宽度 forbidden gap 禁带宽度 forbidden line 禁线 forbidden transition 禁戒跃迁 forced air cooling 强制空气冷却 forced betatron oscillation 受迫自由振荡 forced circulation 强制循环 68 forced vibrations 强制振动 forced water circulation 强制水循环 forecast 预告 forecasting 预报 foreign atom 异类原子 foreign material 异物 foreign substrate 异质衬底 form 表格 formant 共振峰 formants 特盏率 formative time 建立时间 former 成形机 forming 成形 formular 表格 forward bias 正偏 forward bias conduction 正偏压导电 forward biased current 正向偏压电流 forward biased diode 正向偏压二极管 forward biased junction 正向偏压结 forward channel 前向信道 forward characteristic 正向特性 forward current 正向电流 forward direction 通两向 forward impedance 正向阻抗 forward path 前向信道 forward resistance 正向电阻 foundry customer 专用集成电路用户 four bit chip 四位微处理机芯片 four layer transistor 四层晶体管 four level laser 四能级激光器 four phase logic 四相逻辑 four point probe 四点探针 four point probe measurement 四探针测量 four point probe technique 四探针技术 four pole 四极 four pole equivalent network 四端网络等效 电路 four wire circuit 四线线路 four wire line 四线线路 four wire repeater 四线制增音器 four wire terminating set 四线二线变设备 fourier analysis 傅里叶分析 fourier analyzer 傅里叶分析器 fourier hologram 傅里叶变换全息图 fourier inversion 傅里叶反变换 fourier transform 傅里叶变换 fourier transformation 傅里叶变换 fpla 现场可编程序逻辑阵列 fplf 现场可编程序逻辑集成电路系列 fracture strength 抗断强度 fracturer 破裂器 fragility 脆性 fragmentation 破碎 frame 框架;画面 frame antenna 框形天线 frame attacher 框架连接器 frame frequency 帧频 frame period 帧周期 frame scan 帧扫描 frame sweep unit 帧扫描部分 frame sync pulse 帧同步脉冲 frame synchronization 帧同步 frame synchronizer 帧同步机 frames per second 帧频 framing 图框配合 free atmosphere 自由大气 free carrier 自由载劣 free electron 自由电子 free electron laser 自由电子激光器 free oscillations 自由振动 free path 自由行程 free path length 自由程 free progressive wave 自由行波 free radical 自由基 free radical recombination 自由基复合 free running multivibrator 非稳态多谐振荡 器 free running sweep 自激扫描 free space 自由空间 free space wavelength 自由空间波长 free vibrations 自由振动 free wave 自由波 freezing test 耐寒性试验 frenkel defect 弗伦克尔缺陷 freon plasma 氟里昂等离子体 frequency 频率 frequency assignment 频率分配 frequency calibration 频率校准 69 frequency change 频率变换 frequency changer 混频管 frequency characteristic 频率特性 frequency code 频率码 frequency code signal 频率码信号 frequency compensation capacitor 频率补偿 电容器 frequency conversion 变步 frequency converter 变频器 frequency converter of laser radiation 激光 辐射变频器 frequency demodulator 频率解调 frequency deviation 频率偏移 frequency discrimination 鉴频 frequency discriminator 鉴频器 frequency distortion 频率失真 frequency diversity 频率分集 frequency diversity radars 频率分集雷达 frequency divider 分频器 frequency division 分频 frequency division date link 频率分割数据 传输装置 frequency division multiplex 频分多路传输 frequency division multiplexing 分频多路 传输 frequency doubler 倍频器 frequency drift 频率偏移 frequency indicator 频率指示器 frequency jitter 频率颤动 frequency jumping 频率跃变 frequency match 频率配合 frequency modulated light 档光 frequency modulated radar 档雷达 frequency modulated transmitter 档发射机 frequency modulation 频率灯 frequency modulator 档器 frequency multiplexing 频分多路 frequency multiplier 频率倍增器 frequency protection 频率保护装置 frequency pulling 频率牵引 frequency range 频率范围 frequency record 频率记录扳 frequency response 频率响应 frequency response characteristic 频率响应 特性 frequency response curve 频率响应特性 frequency scanning 频率扫描 frequency selective limiter 频率选择限制器 frequency shift 频移 frequency shift keying 频移灯 frequency stability 频率稳定度 frequency stabilization 频率稳定 frequency standard 频率标准 frequency sweep 扫频 frequency swing 频率摆动 frequency synthesis 频率合成 frequency synthesizer 频率合成器 frequency tolerance 容许频偏 frequency transformation 频率变换 frequency tripler 频率三倍器 frequency tuning 频率党 frequencymeter 频率计 fresnel region 菲涅耳区 fringe 条纹 fringe counter 条纹计数器 from 制造厂家可编程序只读存储器 front contact 前触点 front end circuit 前置电路 front end design 前端设计 front porch 前沿 front surface field 前面场 front to back ratio 方向性比 front to back registration 前后对准 front wafer surface 晶片正面 frontwall solar cell 前壁太阳能电池 ft 傅里叶变换 ftr 功能处理量 fuel cell 燃料电池 full custom ic 全定制集成电路 full duplex 全双工 full field exposure 整片曝光 full isolation by porous oxidized silicon 多 孔氧化硅完全隔离 full slice integration 整片集成 full slice technology 整片工艺 full track recording 全磁道录音 full wafer aligner 整片对准器 full wafer alignment 整片对准 70 full wafer chip 整片管芯 full wafer exposure 整片曝光 full wafer lithography 整片光刻 full wafer mask 整片掩模 full wafer memory 整片式存储器 full wave rectifier 全波整流 fully automated network design 全自动网络 设计 fully enclosed air isolation 全封闭式空气绝 缘 fully ionized plasma 完全电离等离子体 fully reflecting surface 全反射面 function generator 函数发生器 function switch 函数开关 functional array 功能阵列 functional capability 操总力 functional check 功能检验 functional chip 功能芯片 functional complexity 功能复杂性 functional design 功能设计 functional device 功能掐 functional electronic block 功能电子块 functional electronics 功能电子学 functional integrated circuit 功能集成电路 functional logic 功能逻辑 functional modularity 功能模块性 functional partitioning 功能划分 functional power 操总力 functional testing 功能测试 functional throughput rate 功能处理量 functional unit 功能元件 functionality 操总力 fundamental frequency 基频 fundamental mode 竹动模式 furnace 炉 furnace annealing 炉内退火 furnace boat 炉小舟 furnace module 炉组件 furnace profile 炉温度分布图 furnace slice carrier 炉内晶片处理用盒 fuse link 熔丝连接环 fuse link technology 熔丝连接环技术 fuse logic 熔丝烧断可编程序逻辑阵列 fuse programmable array logic 熔丝烧断可 编程序逻辑阵列 fuse programmable chip 熔丝烧断可编程序 芯片 fuse resistor 保险丝电阻器 fused junction 熔融结 fused junction transistor 合金结晶体管 fused quartz 熔融石英 fused silica crucible 熔融石英坩埚 fuser 熔化炉 fusible link 熔丝连接环 fusible link programmable read only memory 熔丝连接可编程序只读存储器 fusing 熔融 fusion point 熔点 fusion reaction 热核反应 fusion reactor 热核反应堆 fusion temperature 聚变温度 fuzziness 不清晰 gaas 砷化镓 gaas fet 砷化镓场效应晶体管 gaas laser 砷化镓激光器 gaas laser diode 砷化镓激光二极管 gaas logic gate 砷化镓逻辑门 gage 压力计 gain 放大 gain adjustment 增益控制 gain band width product 增益 带宽积 gain bandwidth product 增益带宽积 gain control 增益控制 gain factor 增益系数 gain stabilization 放大稳定化 gallium aluminum arsenide 镓铝砷 gallium arsenide 砷化镓 gallium arsenide fet 砷化镓场效应晶体管 gallium arsenide laser 砷化镓激光器 gallium arsenide laser diode 砷化镓激光二 极管 gallium arsenide logic 砷化镓逻辑 gallium arsenide logic gate 砷化镓逻辑门 gallium phosphide 磷化镓 gamma 灰度系数 gamma flux 通量 gamma free flux 无 通量 71 gamma lifetime 线跃迁寿命 gamma line 谱线 gamma meter 射线检测仪 gamma radiation 辐射 gamma ray beam 射束 gamma ray holography 射线全息照相术 gamma ray laser 射线激光器 gamma ray quantum 量子 gamma ray transition 跃迁 gamma sensitivity 辐线灵敏度 gang 组 gang bonder 群焊机 gang bonding 群焊 gang bonding bump 群焊用隆起焊盘 gang bonding integrated circuit 群焊集成电 路 gang switch 联动开关 gap 间隙 gap filler radar 填隙雷达 gap loss 间隙损耗 gap printer 间隙式晒印器间隙式曝光器 gap state 能隙状态 garbage 干扰 garble 错乱 garbling 错乱 garnet film 石榴石膜 gas cell 充气光电池 gas cleaning 气体净化 gas decomposition 气体分解 gas deposition 气相淀积 gas discharge 气体放电 gas discharge device 气体放电掐 gas discharge display 气体放电显示 gas discharge lamp 气体放电灯 gas discharge laser 气体放电激光器 gas discharge noise 气体放电噪声 gas discharge plasma 气体放电等离子体 gas etchant 气体腐蚀剂 gas filled rectifier 充气整流 gas filled switching tube 充气开关管 gas filled tube 充气管 gas flow 气体量 gas flow laser 气两激光器 gas handling 气体典 gas ionization 气体电离 gas laser 气体激光器 gas mixture laser 混合气体激光器 gas phase composition 气相成分 gas phase diffusion 气相扩散 gas phase doping 气相掺杂 gas plasma oxidizer 气体等离子氧化装置 gas plating 气相淀积 gas purification 气体净化 gas recombination 气体复合 gas source diffusion 气体源扩散 gas switching tube 开关管 gas valve 气体阀 gasdynamic laser 气动激光器 gaseous diffusion 气相扩散 gaseous discharge 气体放电 gaseous mixture 气体混合物 gaseous mixture laser 混合气体激光器 gaseous phase reaction 气相反应 gaseous plasma generation 气态等离子体生 成 gaseous source predeposition 气体源预淀积 gate 门 gate array 门阵列 gate array approach 门阵列法 gate array chip 门阵列芯片 gate array integration 门阵列集成电路 gate array master chip 门阵列芯片 gate cell 门单元 gate complexity 门集成度 gate connection 栅极引线 gate count 门数 gate delay 门信号延迟 gate delay time 门信号延迟时间 gate density 等效门电路密度 gate dielectric 栅极绝缘层 gate equivalent 等效门 gate equivalent circuit 等效门电路 gate expander 门扩展器 gate groove v 型栅极槽 gate injection mos 栅注入式金属氧化物半 导体 gate insulation breakdown 栅极绝缘哗 gate insulation layer 栅极绝缘层 72 gate insulator 栅极绝缘层 gate level simulation 门电路级模拟 gate line 栅极线 gate metal 栅极金属 gate output 门电路输出 gate overlap 栅极重叠 gate oxidation 栅极氧化 gate oxide 栅氧化层 gate oxide defect 栅氧化层缺陷 gate oxide integrity 栅极氧化层的完整性 gate pattern 栅极图案 gate pulse 门脉冲 gate region 栅极区 gate speed 门电路速度 gate strip 栅极带 gate to substrate breakdown 栅极绝缘哗 gate width 栅极宽度 gateway 网关 gating pulse 门脉冲 gaussian distribution 高斯分布 gaussian impurity profile 高斯杂质分布图 gaussian law 高斯定律 gaussian noise 高斯噪声 gb product 增益带宽积 ge 测量仪器 gee system g 导航系统 geiger muller counter 盖革 弥勒计数管 geissler tube 盖斯勒管 gel 凝胶 general purpose radar 通用雷达 generation 发生 generation center 生成中心 generation rate 产生率 generation recombination noise 产生复合噪 声 generation time 产生寿命 generator 振荡器发生器 genereator 扫描振荡器 geometric layout 几何学的布置布线图 geometrical optics 几何光学 geometry 几何形状 geometry control 尺寸控制 geometry design 几何形状设计 geometry error 几何结构误差 geometry rule 图形设计规则 geometry size 图形设计规则 germania 氧化锗 germanium 锗 germanium junction 锗结 germanium oxide 氧化锗 germanosilicate glass 锗硅酸盐玻璃 getter 吸气剂 getter ion pump 吸气离子泵 getter pump 吸气泵 gettering 吸气 ghost 双重图象 ghz 吉赫 giant pulse laser 巨脉冲激光器 gibberish 尤用数据 gigacycle 吉赫 gigahertz 吉赫 gigascale integration 千兆集成度 gimic 保护环隔离单片集成电路 gimos 栅注入式金属氧化物半导体 gird resistance 栅极电阻 glass 玻璃 glass and metal package 玻离 金属管壳 glass binder 玻璃状粘合剂 glass capsulation 玻璃密封 glass cement 玻璃粘合剂 glass ceramic 玻璃陶瓷 glass ceramic package 玻璃 陶瓷外壳 glass coated aluminum 敷玻璃铝 glass encapsulation 玻璃密封 glass envelope 玻璃封装 glass epoxy board 玻璃纤维环氧尸板 glass fiber 玻璃纤维 glass fiber bundle 玻璃纤维束 glass fiber cable 玻璃纤维电缆 glass fiber laminate 玻璃纤维迭层板 glass fiber laser 玻璃纤维激光器 glass fiber lightguide 玻璃纤维光波导管 glass filament 玻璃丝 glass film 玻璃薄膜 glass header 玻璃管座 glass laser 玻璃激光器 glass mask 玻璃掩模 glass mask substrate 玻璃掩模衬底 

glass passivated capacitor 玻璃钝化的电容 器 glass passivation 玻璃钝化 glass preform 玻璃压片

glass sealing 玻璃封装 glass structure 玻璃结构 glass substrate 玻璃衬底

glass technology 玻璃工艺学 glass to ceramic seal 玻璃 陶瓷密封

glass to metal seal 玻璃 金属密封 glass transition 玻璃相变 glassivation 玻璃钝化

glazed alumina 涂釉氧化铝 glazed ceramics 涂釉陶瓷 glide path beacon 下滑道信标

glide path landing system 下滑着陆系统 glide path transmitter 下滑指向标发射机 glitch 假信号

global alignment 全局对准 global telecommunication 全球电传通信

glow curve 辉光曲线 glow discharge 辉光放电 glow discharge decomposition 辉光放电分 解

glow discharge deposition 辉光放电沉积 glow discharge indicator 辉光放电指示器

glow discharge plasma 辉光放电等离子体 glow discharge tube 辉光放电管 glue 胶水

glue applicator 涂胶器 gold 金 gold ball bonding 金丝球焊 gold bump 金隆起焊盘

gold doped process 掺金工艺 gold doping 掺金 gold mask 金掩模 gold plated lead 镀金引线

gold plating 镀金 gold silicon eutectic 金 硅共晶 gold silicon preform 金 硅共晶塑扭塑

goniometer 测角仪 gradation 浓淡度 grade 度 grade die sort 芯片组分类 grade of purity 纯度

graded band gap 缓变禁带 graded impurity concentration 缓变杂质浓 度 graded junction 缓变结

graded junction varactor 缓变结变容二极管 graded multiple 分品复接

gradient 倾斜度 gradient method 梯度法 gradient of temperature 温度梯度

grading 分级连接 gradual taper 缓变切面 gradual transition 缓变结

grain 晶粒 grain boundary 晶粒边界 grain boundary migration 晶粒间界迁移

grain boundary recombination 晶粒边界复 合

gramophone 留声机 grand scale integration 大规模集成

granular microphone 炭粒式话筒 granule 细粒 graph text 图形文本

graphecon 阴极射线存储管 graphic plotter 制图机 graphics 图形 graphio epitaxy 制图 外延法

graphite carrier 石墨载体 graphite strip heater 石墨条加热器 graphoepitaxy 制图 外延法

graser 射线激光器 grating 棚 grating reflector 栅状反射器 grating spacing 栅线间距

grating type solar cell 栅型太阳能电池 gray code 格雷编码 grazing angle 入射余角

green adder 绿色加法器 green beam 绿色电子束 green black level 绿路黑电平 green gain control 绿色增益蝶

green laser 绿色激光器 green light laser 绿色激光器 green peak level 绿峰值电平

green primary 绿基色 green primary signal 绿基色信号 green video signal 绿色图象信号

grey scale 灰色标度 grey scale signal 灰度信号 grid 棚 grid bias 栅偏压

grid blocking capacitor 栅极电容器 grid capacitor 栅极电容器 grid condenser 栅极电容器

grid control 棚极控制 grid current 栅流 grid cut off voltage 栅极截止电压

grid detection 栅极检波 grid emission 栅极放射 grid glow tube 栅极辉光放电管

grid indicator 格子型指示器 grid mesh 栅极网孔 grid potential 栅极电位

grid rectification 栅极检波 grinder 研磨机 grinding 研磨 grinding dust 研磨粉

grinding wheel 磨轮 groove 槽 groove angle 槽角 groove isolation 槽隔离

groove shape 槽形 groove spacing 纹槽间距 groove width 槽宽

grooved gate mos transistor v 型栅金属氧 化物半导体晶体管 grooving 成形槽

gross information content 总信息量 gross leak 大泄漏 ground 接地

ground absorption 地面吸收 ground antenna 地面天线 ground based repeater 地面转发站

ground constants 大地常数 ground controlled approach radar 地面控制 进场雷达

ground controlled interception 地面指挥截 击

ground distance 地面距离 ground echo 地面回波 ground level 基态能级

ground loop 接地回路 ground mode 基模 ground position indicator 飞机对地位置指 示器

ground radar 地面雷达 ground radiostation 地面无线站 ground reflected wave 地面反射波

ground reflection 地面反射 ground return 地面反射 ground state 基态

ground state splitting 基态劈裂 ground state transition 基态跃迁

ground surveillance radar 地面监视雷达

ground wave 地波 grounded cathode amplifier 阴极接地放大 器

grounded grid amplifier 栅极接地放大器 grounded grid circuit 栅极接地电路

grounded grid triode 栅极接地三极管 grounded plate amplifier 阳极接地放大器

grounding 接地 group 群 group busy signal 群占线信号 group centre 中心局

group delay 群时延 group delay time 群延迟时间 group frequency 群频率

group iii v compound semiconductor material 族化合物半导体材料

group iii v semiconductor 族半导体 group modulation 群灯

group retardation 群时延 group selector 群选择器选组器 group v impurity v 族杂质

group velocity 群速度 grouping 集聚 grower 生长装置 growing zone 生长区 grown crystal 生长晶体

grown film 生长膜 grown junction 生长结 75 grown junction transistor 生长结晶体管 growth 生长

growth anisotropy 生长蛤异性

growth boat 晶体生长小舟 growth center 生长中心 growth conditions 生长条件

growth defect 生长缺陷 growth direction 生长取向 growth face 生长面 growth melt 生长溶融

growth nucleation 生长核形成 growth of crystal 晶体生长 growth orientation 生长取向

growth pattern 生长模型

growth pyramid 生长棱锥缺陷 growth rate 生长速度 growth solution 生长溶液

growth step 生长阶 gsg 锗硅酸盐玻璃 gsi 大规模集成 guard band 保护频带;防护频带

guard ring 保护环 guard ring isolated monolithic integrated circuit 保护环隔离单片集成电路

guarding diffusion 保护环扩散

guidance 制导 guidance equipment 制导设备 guidance network 制导网 guidance station 制导站

guidance system 制导系统 guidance tracking receiver 导引跟踪接收器

guide 波导管 guide characteristic wave impedance 波导管 特性波阻抗

guide pin 导销 guide wavelength 波导管波长 guided propagation 导行传播

guided wave 被导波 guiding fiber 纤维波导管 gun 电子枪 gunn diode 耿二极管

gunn diode mixer 耿氏二极管混合器 gunn effect 耿效应 gunn effect device 耿氏效应掐

gunn effect diode 耿氏效应二极管 gunn effect integrated circuit 耿氏效应集成 电路

gunn mode 耿氏模 gunn oscillator 耿氏振荡器 gyrator 回转器

gyro bearing 回转仪方位 gyro frequency 陀螺频率 gyromagnetic medium 旋磁介质

gyroscope 陀螺仪 gyrotron 回旋管 h bend h 平面弯头 h maser 氢微波激射器

h mos mos technology 高性能金属氧化物 半导体技术 h parameter 混合参量

h plane bend h 平面弯头 h plane t junction h 面 t 型接头 h radar system h 雷达系统

h3o laser 水蒸汽激光器 halation 成晕现象 half cycle 半周期 half duplex 半双工的

half duplex circuit 半双工电路 half duplex operation 半双工工作半双向操 作

half duplex repeater 半双工中继器 half reflecting mirror 半反射镜

half tone 半色调 half tone image 中间色图象 half transmitting mirror 半透射镜

half value layer 半衰减层 half wave 半波长 half wave antenna 半波天线

half wave dipole 半波偶极子 half wave layer 半波层 half wave length 半波长

half wave rectifier 半波整流 halfwidth 半宽度 halfwidth of line 谱线半宽度

halide leak detector 卤素探漏器 halide photoresist 卤化物光刻胶 hall cell 霍耳单元

hall coefficient 霍耳系数 hall constant 霍耳常数 hall effect 霍耳效应 hall effect device 霍耳效应掐

hall effect integrated circuit 霍耳效应集成 电路 hall generator 霍耳发生器

hall mobility 霍耳迁移率 halo 光环 halt 停止 hamilton's form 标准形 hamming code 汉秒

hand dosimeter 手持剂量计 hand microphone 手持式传声器 hand operation 手动操作

hand radar 便携式雷达 hand set 手持送受话器 handling 键控 handshake 信号交换

handshaking 信号交换 hard error 系统错误 hard gamma 硬 量子 hard radiation 硬辐射

hard solder 硬焊料 hard superconductor 硬超导体 hard vacuum 高真空

hard x rays 硬 x 射线 hardbaking 坚膜 hardener 硬化剂 hardening 硬化

hardening temperature 硬化温度 hardness 硬性 hardware 硬件 harmonic 谐波

harmonic amplifier 谐波放大器 harmonic analyzer 谐波分析器 harmonic antenna 谐波天线

harmonic distortion 谐波失真 harmonic generator 谐波发生器 harmonic mixer 谐波混频器

harmonic oscillations 谐振荡 harmonic suppression 谐波抑制 harmonic waveguide 谐波波导管

harmonics generation 谐波振荡 harness 点火 harp aerial 扇形天线 harp antenna 扇形天线

hash 尤用数据 hazard rate 故障率 hbt 异质结双极型晶体管 hcd 热载劣二极管

hdtl 混合二极管 晶体管逻辑 hdtv 高清晰度电视 hdx 半双工的 head adjustment 磁头蝶

head amplifier 前置放大器 head phone 头窜话器头唇耳机 head receiver 头窜话器头唇耳机

head set 头代机 head telephone 头窜话器头唇耳机 header 标题

header assembly 管座装置 heading 标题 heading indicator 航向指示器

heat 热 heat absorbent surface 冷却面 heat balance 热平衡 heat cleaning 热清洗

heat conduction 热传导 heat dissipation 散热 heat exchange 热交换 heat exchanger 热交换器

heat oxidation 热氧化 heat radiation 热辐射 heat radiator 散热器 heat removal 热量排除

heat resistance 耐热性 heat sink 散热器 heat test 加热试验 heat transfer area 传热面积

heat treatment 热处理 heat up cycle 加热周期 heater 加热器 heater cathode 旁热式阴极

heating 加热 heating surface 加热表面 heavily doped material 重掺杂材料

heavily doped region 重掺杂区 77 heavy doping 重掺杂 heavy hydrogen 重氢

hectometric waves 百米波 height control 高度蝶 height to width aspect ratio 高宽比

helipot 螺旋电位计 helium 氦 helium cooling 氦气冷却 helium leak detector 氦检漏器

helium neon laser 氦氖激光器 helium spectrometer 氦分光计 hemt 高电子迁移率晶体管

henrymeter 电感计 heptode 七极管 heptode converter 五栅管混频器五栅管变 频器

hermetic package 气密外壳 hermetic seal 密封 hermetically sealed connector 密封连接器

hertz dipole 赫兹偶极子 hertzian telegraphy 无线电报 het 热电子晶体管

hetero epitaxial diode 异质外延二极管 heterocharge 混杂电荷 heterodyne 外差振荡器

heterodyne frequency 外差频率 heterodyne frequencymeter 外差式频率计

heterodyne oscillator 外差振荡器 heterodyne receiver 外差接收机

heterodyne reception 外差接收 heterodyne wavemeter 外差式波长计 heterodyning 差拍变频

heteroepitaxal film 异质外延膜 heteroepitaxial deposition 异质外延淀积

heteroepitaxial growth 异质外延生长 heteroepitaxy 异质外延 heterogeneity 异质性

heterogeneous radiation 非单色辐射 heterogeneous reaction 非均相反应

heterogeneous structure 异质结结构 heterogenous junction 异质结

heterointegrated circuit 异质结集成电路 heterointerface 异质结面 heterojunction 异质结

heterojunction bipolar transistor 异质结双 极型晶体管 heterojunction diode 异质结二极管

heterojunction interface 异质结面 heterojunction laser 异质结激光器

heterojunction photodiode 异质结光电二极 管 heterojunction structure 异质结结构

heterojunction transistor 异质结晶体管 heterolaser 异质结激光器

heterostructure 异质结构 heterostructure bipolar transistor 异质结双 极型晶体管

hf biasing 高频偏压 hf drying 高频烘干 hic 混合集成电路

high aspect ratio region 大长宽比区 high capacity evaporator 大容量蒸发器

high concentration 高浓度 high concentration layer 高浓度层

high current implanter 大电岭子注入装置 high definition television 高清晰度电视 high density chip 高密度芯片 high density integrated circuit 高密度集成 电路

high density isolation technology 高密度隔 离技术 high density layout 高密度布置图

high density memory 高密度存储器 high density packing 高密度封装 high doping 重掺杂

high dosage ion implantation 高剂量离子注 入

high efficiency laser 高效率激光器 high electron mobility transistor 高电子迁 移率晶体管

high energy electron diffraction 高能电子衍 射 high energy ion implantation 高能离子注入

high energy laser 高能激光器 high energy level 高能级

high energy pulse 高能脉冲 high energy radiation 高能辐射 high fan out 高输出端数

high fidelity 高真实性 high fidelity transfer 高保真度图象传递

high frequency amplifier 高频放大器 high frequency cable 高频电缆

high frequency circuit 高频电路 high frequency correction 高频校正

high frequency discharge 高频放电 high frequency ferrite 高频铁氧体

high frequency furnace 高频电炉 high frequency heating 高频加热

high frequency ion etching 高频离子腐蚀 high frequency measurement 高频测量

high frequency oscillator 高频振荡器 high frequency power amplifier 高频功率放 大器

high frequency pumping 高频激励 high frequency signal 高频信号

high frequency stage 高频级 high frequency transmission 高频传输

high frequency trigger 高频触发器 high frequency tube 高频管

high gamma tube 高加玛管 high input 高输入 high intensity source 高强度辐射源

high level data link control 高级数据链路控 制 high level injection 高能级注入

high level logic 高电平逻辑电路 high light 图象中最亮处

high magnification inspection 高放大率显 微镜检查

high mobility semiconductor 高迁移率半导 体

high noise immunity device 高抗扰度掐 high noise immunity logic 高抗扰度逻辑

high ohmic semiconductor 高电阻半导体 high output 高输出

high output implanter 高功率离子注入装置 high pass 高马力

high pass filter 高马力 high performance bipolar process 高指标双 极型工艺

high performance circuit 高性能电路 high performance mos 高性能金属氧化物 半导体

high power laser 大功率激光器 high power pulse 大功率脉冲

high precision network 高精度网路 high pressure arc discharge 高压电弧放电

high pressure co3 laser 高压二氧化碳激光 器 high pressure discharge 高压放电

high pressure gas laser 高压气体激光器 high pressure grower 高压生长装置

high pressure laser 高压激光器 high pressure oxidation 高压氧化

high quality hologram 高质量全息图 high rate deposition 快速淀积 high resistance load 高阻负载

high resistance voltmeter 高阻伏特计 high resistivity region 高电阻率区

high resistivity silicon 高电阻率硅 high resolution 高分辨率

high resolution detector 高分辨探测器 high resolution emulsion 高清晰度乳胶

high resolution image replication 高清晰度 图象复制 high resolution imaging 高清晰度成像

high resolution lithography 高分辨率光刻 high resolution registration 高分辨率对准

high resolution screening 高清晰度丝网漏 印

high scale integration 大规模集成化

high specification encapsulation 高质量封 装

high speed circuit 高速电路 high speed detector 快速探测器高速检测器

high speed element 高速元件 high speed holography 高速全息照相术

high speed ic resist 高灵敏抗蚀剂 high speed ic series 高速集成电路系列

high speed ic technology 高速集成电路工 艺 high speed il 高速集成注入逻辑

high speed integrated circuit 高速集成电路 high speed logic 高速逻辑

high speed lsi 高速大规模集成电路 high speed operation 高速工作

high speed performance 高速性能 high speed plotter 高速绘图仪

high speed process 高速集成电路工艺 high speed response 高速响应

high technology integrated circuit 高技术集 成电路 high temperature annealing 高温退火

high temperature chemical vapor deposition 高温化学汽相淀积

high temperature epitaxy 高温外延 high temperature insulation 高温绝缘

high temperature processing 高温处理 high threshold device 高阈值掐

high threshold logic 高阈值逻辑 high threshold mos 高阈值金属氧化物半导 体

high throughput system 高生产能力系统 high vacuum 高真空 high vacuum chamber 高真空室

high vacuum degassing 高真空脱气 high vacuum evaporation 高真空蒸发

high vacuum flange 高真空法兰盘 high vacuum grease 高真空脂膏

high vacuum technology equipment 高真空 工艺设备

high vacuum tube 高真空电子管 high vacuum valve 高真空电子管

high voltage accelerator 高压加速器 high voltage integrated circuit 高压集成电 路

high voltage kenotron 高压整窿极管 high voltage line 高压线路

high voltage most 高压金属氧化物半导体 晶体管 high voltage stability 高压稳定性

high voltage thin film transistor 高压薄膜晶 体管 high voltage transistor 高压晶体管

high voltage transmission electron microscope 高压透射电子显微镜

high yield ic production 高成品率集成电路 生产 highly excited level 强激励能级

highly packed chip 高密度芯片 hipox 高压氧化 hissing 啸声 hj 异质结

hjbt 异质结双极型晶体管 hldlc 高级数据链路控制 hll 高电平逻辑电路 hlt 停止

hmos 高性能金属氧化物半导体 hnil 高抗扰度逻辑 hold circuit 保持电路 hold control 同步蝶

hold in range 同步保持范围 holder 支持器 holding circuit 保持电路 holding control 同步蝶

holding current 保持电流 hole 空穴 hole capture 空穴捕获 hole conduction 空穴导电

hole current 空穴电流 hole density 空穴密度 hole diffusion length 空穴扩散长度

hole drift 空穴漂移 hole electron pair 电子 空穴对 hole electron recombination 电子 空穴复合

hole injection 空穴注入 hole life 空穴寿命 hole mobility 空穴迁移率

hole quasi fermi level 空穴准费米能级 hole semiconductor 空穴半导体 hole trap 空穴陷阱

hole trapping 空穴捕获 hollow 空心的 hollow anode 空心阳极 hollow cathode 空心阴极

hollow cathode discharge tube 空心阴极放 电管 hollow cathode laser 空心阴极激光器

hologram 全息照相 hologram area 全息照相面 hologram field 全息照相场

hologram information capacity 全息图信息 容量 hologram resolution 全息图分辨率

hologram storage 全息图储存 hologram synthesis 全息图合成 hologram thickness 全息图厚度

holographic apparatus 全息装置 holographic cassette television 全息照相盒 式电视机

holographic deta 全息照相数据 holographic format 全息形式 holographic information 全息信息

holographic memory 全息照相存储器 holographic motion pictures 全息电影

holographic record 全息记录 holographic storage 全息照相存储器

holographic technique 全息技术

holographic television 全息电视 holography 全息照相术 homing 自动导引

homing device 归航设备 homing phase 自动寻的阶段 homing receiver 自动寻的接收机

homocharge 同号电荷 homodyne reception 零差接收 homoepitaxy 同质外延 homogeneity 同质性

homogeneous light 单色光 homojunction 同质结 hood 遮光罩 hook switch 挂钩开关 hop 跳迁

horizontal aerial 水平天线 horizontal amplifier 水平扫描信号放大器 horizontal amplitude 水平幅度

horizontal antenna 水平天线 horizontal barrel distortion 水平桶形失真

horizontal blanking 水平消隐 horizontal blanking pulse 水平消隐脉冲

horizontal convergence 水平会聚 horizontal definition 水平清晰度

horizontal deflection 水平偏转 horizontal deflection osillator 水平偏转振 荡器

horizontal deflection unit 水平偏转单元 horizontal dynamic focusing 水平动态聚焦

horizontal flyback 水平回描 horizontal frequency 横扫频率

horizontal frequency divider 行频分频器 horizontal output stage 水平扫描输出级

horizontal parity 横向奇偶性 horizontal polarization 水平极化 horizontal reactor 卧式反应器

horizontal resolution 木平分解力 horizontal retrace 水平回描 horizontal sweep 水平扫描

horizontal synchronization 行同步 horizontally polarized wave 水平极化波

horn 喇叭 horn loudspeaker 喇叭形扬声器 host lattice 支格 hot atom 热原子

hot carrier 热载劣 hot carrier diode 热载劣二极管 hot cathode 热阴极 hot cathode lamp 热阴极管

hot cathode tube 热阴极管 hot electron 热电子 hot electron emission 热电子发射

hot electron injection 热电子注入 hot electron transistor 热电子晶体管

hot forming 热成形 hot gas bonder 热气喷射钎焊装置 hot line 热线

hot loop 热线 hot plasma 热等离子体 hot spot 热点 housing 外壳 howling 振呜 hp 高马力

hpf 高马力 hsic 高速集成电路 hsl 高速逻辑 htl 高阈值逻辑 hub 集线器集中器 hue 色调色彩

hue control 色地制 hum 咛声 hum modulation 噪声灯 humid hydrogen atmosphere 湿氢气氛

humidity 湿度 81 humidity cabinet 湿度箱 humidity chamber 湿度箱

humidity meter 湿度计 humidity test 湿度试验 hunting 寄生振荡 hvic 高压集成电路

hvtem 高压透射电子显微镜 hvtft 高压薄膜晶体管 hybrid amplifier 混合放大器

hybrid approach 混合工艺 hybrid chip 混合集成电路芯片 hybrid circuit board 混合集成电路板

hybrid component 混合集成电路元件 hybrid design 混合集成电路设计

hybrid diode transistor logic 混合二极管 晶体管逻辑 hybrid electromagnetic wave 混合电磁波

hybrid filter 混合滤波器 hybrid integrated circuit 混合集成电路

hybrid junction 混合连接 hybrid matrix 混合矩阵 hybrid microassembly 混合集成电路微组 装

hybrid microcircuit 混合微型电路 hybrid microelectronics 混合微电子学

hybrid network 混合网络 hybrid packaging 混合集成电路封装 hybrid parameter 混合参量

hybrid photomultiplier 混合光电倍增器 hybrid resistor 混合电路电阻器

hybrid screen 混合集成电路丝网漏印板 hybrid t t 形波导 hybrid technique 混合工艺

hybrid television receiver 混合式电视接收 机 hybrid wave 混合型波 hybridization 混合化

hydrogen 氢 hydrogen annealing 氢气退火 hydrogen atom sensor 氢原子传感器

hydrogen reduction 氢还原 hydrogen spectrum 氢光谱 hydrogen thyratron 氢闸淋

hydrophobic silica 疏水性二氧化硅 hydrothermal epitaxy 水热外延 hygrometer 湿度计

hyperabrupt junction 超突变结 hyperabrupt junction varactor 超突变结变 容二极管

hyperabrupt profile 超突变剖面图 hyperfine line 超精细结构线

hyperfine splitting 超精细分裂 hyperfine structure 超精细结构 hyperfine transition 超精细跃迁

hyperpure germanium 超纯锗 hysteresimeter 滞后计 hysteresimetry 滞后测定法

hysteresis 滞后现象 hysteresis loop 磁滞回线 i type semiconductor 本针导体

iatron 投影电位示波器 ibl 离子束刻蚀法 ibt 离子注入基极晶体管 ic 集成电路

ic amplifier 集成电路放大器 ic array 集成电路阵列 ic artwork generation 集成电路原图生成

ic benchmark 集成电路参考标准 ic breadboarding 集成电路模拟板试验

ic capacitor 集成电路电容器 ic chip 集成电路芯片 ic design 集成电路设计

ic development 集成电路试制 ic element 集成电路元件 ic family 集成电路系列

ic isolation technique 集成电路隔离技术 ic lead socket 集成电路插座 ic memory 集成电路存储器

ic mockup 集成电路实体模型 ic module 集成电路组件 ic process technology 集成电路工艺学

ic processing 集成电路加工过程 ic processor 集成电路微处理机 iconoscope 光电摄像管

ideal black body 绝对黑体 ideal bunching 理想聚束 ideal diode equation 理想二极管方程

ideal instantaneous orbit 理想瞬时轨道 identification 识别 identification beacon 识别信标

identification friend or foe 敌我识别 idotron 光电管检验仪 if 中频

iffraction grating 衍射光栅 igfet 绝缘栅场效应晶体管 igmos 绝缘栅金属氧化物半导体

igniter 点火器 ignition 点火 ignition anode 点火极 ignitron 点火管

ii vi compound semiconductor 旋化合物半 导体 ii vi crystal 族化合物晶体

il 集成注入逻辑 il circuit il 电路 il gate il 门

il memory il 存储器 il slice microprocessor il 位片微处理机 image 像 image acuity 图像清晰度

image array 图像阵列 image blurring 图像模糊 image brightness 图像亮度

image carrier 图像载波 image channel 图像通道 image contrast 图像对比度

image converter 图像光电变换器变像管 image converter camera 图像变换摄像机

image converter tube 图像光电变换器变像 管 image detector 成像器

image diagonal 图像对角线 image dissector 析像管 image dissector tube 析像管

image drift 图像漂移 image element 像素 image enhancement 图像增强

image error 图像失真 image field 像场 image format 图像形式 image frequency 像频

image iconoscope 移像光电摄像管 image identification 图像识别 image impedance 对像阻抗

image intensifier 图像亮度放大管 image intensifier tube 图像亮度放大管

image line 图像扫描线 image lock 图像同步 image multiplication 图像放大

image orthicon 超正析像管 image penumbra 图像模糊 image pickup device 摄像器

image pickup tube 摄像管 image processing 图像处理 image projection system 图像投影系统

image quality 图像品质 image ratio 镜频波道的相对增益 image repeator 图像重复器

image replication 图像重复 image resolution 图像清晰度 image response 镜道响应

image scanner 图像扫描器 image sensing 图像检测 image sensor 图像传感器

image sharpness 图像清晰度 image signal 图像信号 image signal amplifier 图像信号放大器

image signal generator 图像信号发生器 image size 图像尺寸 image storage 图像存储

image storing tube 图像存储管 image sweep frequency 图像扫描频率

image synthesis 图像合成 image transfer 图像转移 image transmission 视频传输

image transmitter 图像发射机 image vidicon 超光电摄像管 imager 成像器

imaging 图像形成 imaging array 成像阵列 imaging precision 成像精度 imaging process 成像工艺

immersion development 浸液显影 immersion vapor degreaser 浸液 蒸汽脱脂 器

imos 离子注入金属氧化物半导体 imos device 离子注入金属氧化物半导体 掐

impact 冲击碰撞 impact avalanche and transit time diode 碰 撞雪崩渡越时间二极管

impact exciation 冲护励 impact excitation 碰撞激发 impact extruded package 冲拔式外壳

impact ionization 碰撞电离 impact molding 冲压法 impatt diode 碰撞雪崩渡越时间二极管

impatt oscillator 碰撞雪崩渡越时间二极管 振荡器 impedance 阻抗 impedance bridge 阻抗电桥

impedance matching 阻抗匹配 impedance matrix 阻抗矩阵 imperfect crystal 不完整晶体

imperfect wafer 有缺陷的晶片 imperfection 不完整性 implant 离子注入

implant isolation technique 离子注入隔离 技术 implant masking step 离子注入用掩蔽工序

implantation 离子注入 implantation annealing 离子注入后退火 implantation damage 离子注入损伤

implanted channel 离子注入沟道 implanted dopant 注入杂质 implanted impurity 注入杂质

implanted oxide 离子注入氧化物 implanter 离子注入机 implosion 聚爆 impregnant 浸渍剂

impregnated cathode 浸渍阴极 impregnation 浸渍 improvement threshold 改良限度

impulse counter 脉冲积算表 impulse excitation 冲护励 impulse machine 自动电话拨号盘

impulse meter 脉冲积算表 impulse noise 脉冲噪声 impulse period 脉冲周期

impulse repeater 脉冲转发机 impulse sender 脉冲发送器 impulse sending machine 脉冲发送器

impulse spring 脉动弹簧 impurity 杂质 impurity activation 杂质激活 impurity atom 杂质原子

impurity band 杂质能带 impurity center 杂质中心

impurity conduction 杂质导电 impurity defect 杂质缺陷 impurity density 杂质浓度

impurity diffusion 杂质扩散 impurity distribution 杂质分布 impurity dopant 杂质

impurity dopant incorporation 掺杂 impurity doping 掺杂

impurity gradient 杂质梯度 impurity ionization 杂质电离

impurity level 杂质能级 impurity profile 杂质分布图 impurity segregation 杂质偏析 impurity

semiconductor 杂质半导体 impurity type 杂质型 in diffusion 向内扩散 in line assembly 顺序组装

in line ic processor 吝式集成电路加工装置 in line processing 吝式处理

in line production mode 吝式生产方式 in line sputterer 直列式离子溅射装置

inactive region 不活跃区 incandescent cathode 白炽热阴极 incandescent lamp 白炽灯

incident beam 入射束 incident light 入射光 incident wave 入射波 incident wavefront 入射波前

incoherence 不相干性 incoherent scattering 非相干散射 incoming call 呼入

incoming pulse 输入脉冲 incoming trunk 来中继线 independent excitation 单独激励

indeterminacy 不确定性 84 index of refraction 折射率 indexer 档定位装置 indication 指示

indication error 指示误差 indicator 指示器 indicator tube 党指示器 indirect control 间接控制

indirect echoes 间接回波 indirect synchronization 间接同步 indirect transition 间接跃迁

indirect wave 间接波 indirectly heated cathode 间接加热阴极;芳 热式阴极

indium 铟 individual circuit chip 单电路芯片 individual control 个别控制

individual line subscriber 专线用户 indox 钡磁材料 induced channel 感应沟道

induced charge 感应电荷 induced junction 感应结 induced polarization 感应极化

induced radiation 感应辐射 induced transition 受激跃迁 induced voltage 感应电压

induct heating 感应加热 inductance 电感 induction acceleration 感应加速度

induction accelerator 感应加速器 induction furnace 感应炉 induction heating 感应加热

inductive coupling 电感耦合 inductive load 电感性负载 inductive loaded line 电感性负载线路

inductive neutralization 电感中和 inductive output tube 感应输出管 inductive post 电感柱

inductive reactance 感抗 inductive susceptance 电感性电纳

inductive tuning 电感党 inductive window 电感性窗 inductivity 电容率

inductoscope 电感器 industrial integrated circuit 工业用集成电 路 industrial laser 工业激光器

industrial microcomputer 工业用微型计算 机 industrial television 工业电视

inelastic collision 非弹性碰撞 inert atmosphere 惰性气氛 inert gas 惰性气体

inert gas ambient 惰性气氛 inert gas blanket 惰性气体包层 inert layer 不活泼层

inertial confinement 惯性约束 inertial system 惯性系 infinite source 无限杂质源

information bit 信息位 information channel 信息量 information content 红外发射机

information density 信息密度 information handling 信息处理 information identification 信息识别

information loss 信息长失丧 information network 信息网络 information rate 信息率

information service 情报业务 information storage 信息存储 information system 信息系统

information theory 信息论 information transfer 信息传递 information trunk 查询线

information unit 信息单位 infranics 红外线电子学 infrared beams 红外射线束

infrared cryoelectronics 红外线低温电子学 infrared cure 红外线硬化 infrared detection 红外探测

infrared detector 红外探测器 infrared dryer 红外线干燥器 infrared heating 红外加热

infrared imaging 红外线成像 infrared jamming 红外线干扰 infrared laser 红外线激光器

infrared light 红外光 infrared locator 红外探测器 infrared microscope 红外显微镜

infrared radiation 红外辐射 infrared range 红外线区域 infrared rays 红外线

infrared source 红外线源 infrared spectral range 红外线区域 infrared spectroscopy 红外光谱学

infrared tomography 红外线断层摄影术 infrared transmitter 红外发射机

infrasound 次声 ingot 锭 ingot grinder 晶锭研磨机 inherent loss 固有损耗

inherent reliability 固有可靠性 inhibit pulse 禁止脉冲 inhomogeneity 不均匀性

inhomogeneous magnetic field 不均匀磁场 initial ionization 起始电离

initial state 初态 initiating electron 初始电子 injected electrons 注入的电子

injected holes 注入的空穴 injected laser 注入型激光器 injecting contact 注入接触 injection 注入

injection coupling 注入耦合 injection current 注入电流 injection doping 注入掺杂

injection efficiency 注入系数 injection integrated logic 集成注入逻辑 injection laser 注入型激光器

injection locking 注入锁定 injection molder 注射模型成形机

injection molding press 注射模型成形机 injection optics 注入光学

injection pulse 注入脉冲 injection ratio 注入系数 injection region 注入区 injector 注射器

injector junction 注入结 ink 油墨 ink dot recognition 墨水点识别

ink writer 印字机 inker 印字机 inking 上墨 inland telegram 国内电报 inner bonder 内引线键合器

nner electron 内层电子 inner orbit 内层轨道 inoperable chip 有故障芯片

inorganic liquid laser 无机液体激光器

input 输入 input admittance 输入导纳 input amplifier 输入端放大器

input capacitance 输入电容 input impedance 输入阻抗 input loading factor 扇入端数

input resistance 输入电阻 input resonator 输入谐振器 input signal 输入信号 input stage 输入级

input unit 输入设备 input/output expander 输入输出扩展器 input/output operation 输入输出操作

input/output pads 输入输出焊盘 inputoutput device 输入输出设备 insertion gain 插入增益

insertion loss 插损耗 insoluble photoresist 非溶性光刻胶 inspection equipment 检查仪器

inspection gate 检查台 inspection microscope 检查显微镜 instability 不稳定性 installation 装置

instantaneous frequency 瞬时频率 instantaneous orbit of charged particle 带电 粒子的瞬时轨道

instantaneous value 瞬时值 instruction 命令

instruction code 指令码 instruction word 指令语 instrument landing system 盲目降落方式

instrumental error 仪企差 instrumentation recording 模拟记录 insulant 绝缘材料

insulated gate electrode 绝缘栅极 insulated gate field effect transistor 绝缘栅 场效应晶体管

insulated substrate integrated circuit 绝缘衬 86 底集成电路 insulating base 绝缘底板

insulating film 绝缘薄膜 insulating layer 绝缘层 insulating substrate 绝缘衬底 insulation 绝缘

insulation isolation 绝缘隔离 insulation oxide 绝缘氧化物 insulation pattern 绝缘区图样

insulator 绝缘体 intact fuse link 未损坏的保险丝 integral action control 积分动棕制

integral action controller 积分型控制器积 分控制器 integral control 积分动棕制

integral mode controller 积分型控制器积分 控制器

integrated circuit 集成电路 integrated circuit chip 集成电路芯片

integrated circuit component 集成电路元件 integrated circuit design language 集成电路 设计语言

integrated circuit family 集成电路系列 integrated circuit interconnection 集成电路 互连

integrated circuit layout 集成电路布局图

integrated circuit logic 集成电路逻辑

integrated circuit module 集成电路组件

integrated circuit processing technique 集成 电路工艺技术

integrated circuit resistor 集成电路电阻器 integrated communication 综合通信

integrated component 集成元件 integrated digital network 综合数字网络

integrated electronic component 集成元件 integrated electronics 集成电子学

integrated microelectronics 集成微电子学 integrated optical circuit 光集成电路

integrated optical communication 集成光通 信 integrated optics 集成光学

integrated optics technique 集成光路技术 integrated optics technology 集成光路工艺 学

integrated optoelectronic circuit 光电集成电 路 integrated optoelectronics 集成光 电子学

integrated processor 集成处理器 integrated regulator 稳压集成电路

integrated resistor 集成电阻 integrated semiconductor device 集成半导 体掐

integrated services digital network 综合业 务服务网

integrated telecommunication system 综合 通信系统 integrating circuit 积分电路

integration 集成 integration circuit 积分电路 integration density 集成密度

integration level 集成度 integration limit 集成限度 integration of pulses 脉冲的积分

integration time 积分时间 integrator 积分电路 integrity 完全性 integronics 集成电子学

intellectronics 人工智能电子学;智能电子 学 intelligibility 可懂度 intelligible crosstalk 可慌串话

intelsat 国际通信卫星 intensity control 亮度第 intensity distribution 强度分布

intensity modulation 亮度灯 inter switchboard line 连结线 interacting particles 相互酌粒子

interaction 相互酌 interaction cross section 交互酌截面 interaction length 交互酌长度

interaction range 交互酌空间 interaction space 交互酌空间 interaction time 相互酌时间

interactive computer aided design 交互式计 算机辅助设计

interactive mode 对话方式 interactive placement 交互式布置

interactive router 交互式定线器 87 interband transition 带间跃迁

interception 截装 interchange 交换

interchangeability 可互换性 intercommunicating system 双工制

intercommunication 双方通信 interconnect pad 互连焊盘 interconnect tape 带有引线架的互连带

interconnection 相互连接 interconnection bonding 引线焊接 interconnection density 互连密度

interconnection diagram 互连图 interconnection equipment 互连装置 interconnection layer 互连层

interconnection layout 互连草图 interconnection level 互连层 interconnection mask 互连掩模

interconnection metal 互连用金属 interconnection network 互连图

interconnection pattern 互连图案

interconnection process 互连工艺 interconnection substrate 互连层衬底

interdevice isolation 掐间隔离 interdiffusion 相互扩散 interdigital emitter 叉指形发射极

interdigitated structure 交叉指型结构 interelectrode capacitance 极间电容 interface 接口;界面

interface layer 交界层 interface level converter 逻辑接口电平变换 器

interface loss 界面损失 interface state 界面状态 interfacial layer 间层 interfacial oxide 界面氧化物

interference 干涉 interference area 干扰区域 interference current 干扰电流

interference filter 干扰滤波器 interference fringes 干涉条纹 interference limiter 干扰限制器

interference pattern 干涉图 interference photocathode 干涉光电阴极 interference zone 干扰区

interlaced scanning 隔行扫描 interlacing 隔行扫描 interlayer 间层 interlayer dielectric 层间绝缘

interlayer isolation 层间绝缘 interlayer metallization 层间金属化 interlevel alignment 层间对准

interlevel insulator 层间绝缘体 interlevel oxidation 层间氧化 intermediate 中频

intermediate distributing frame 中间配线架 intermediate film system 中间影片制

intermediate frequency 中频

intermediate frequency amplifier 中频放大 器

intermediate frequency stage 中频级 intermediate level 中间能级

intermediate metallization 中间金属化

intermediate office 中间局 intermediate photomask 中间掩模

intermediate repeater 中间中继器 intermetallic compound 金属间化合物

intermetallic semiconductor 金属间半导体 intermodulation 相互灯

intermodulation distortion 互掸真 intermodulation noise 互惦声

internal base resistance 基极内电阻 internal channel 内部沟道 internal circuit 内部电路

internal memory 内存储器 internal modulation 内灯 internal noise 固有噪声

internal photoelectric effect 内部光电效应 internal photoemission 内部光致发射

internal reflection 内反射 international broadcasting 国际无线电广播

nternational call 国际通话 international circuit 国际电路 international code 国际电码

international exchange 国际电话局 international network 国际网络 international telecommunication 国际电信

international telecommunications satellite 国 际通信卫星 

international telegraph alphabet 国际电报字 母

international telegraph code 国际电报字母 international teletype code 国际电报字母

interphone 内部互通电话 interpoly dielectric 多晶硅层间介质体 interposition trunk 席间中继线

interrogation 询问 interrogator 询问应答机 interrupt 中断

interrupted continuous waves 断续等幅波

intersatellite communication 卫星间通信 interstage coupling 级际耦合

interstage transformer 级间变压器 interstellar maser 宇宙脉泽 interstellar space 宇宙空间 interstice 间隙

interstitial defect 填隙式缺陷 interstitial diffusion 填隙式扩散 interstitial imperfection 填隙式缺陷

interstitial impurity 填隙式杂质 interstitial site 间隙 interstitral atom 填隙原子

intersymbol interference 符号间干扰 intraband transition 带内跃迁 intraconnection 内部互连

intrinsic barrier diode pin 二极管 intrinsic body 本针导体衬底 intrinsic carrier 本肇劣

intrinsic concentration 本炸度 intrinsic conduction 本占电 intrinsic gate 本针导体栅极

intrinsic mobility 本炸移率 intrinsic noise 固有噪声 intrinsic photoconductivity 本这电导性

intrinsic properties 本赵质 intrinsic region 本狰 intrinsic semiconductor 本针导体

intrinsic temperature range 本章度范围 intrusion 杂质侵入 inverse amplification factor 栅透系数

inverse beta 晶体管共发射极短路反向电 僚大系数 inverse fourier transform 反傅里叶变换

inverse grid current 反栅极电流 inverse grid voltage 反栅极电压

inverse neutral telegraph transmission 逆中 性电报传输 inverse photo electric effect 反光电效应

inverse voltage 反向电压 inversed diode 反向二极管 inversion 反相 i

nversion capacitance 反型层电容 inversion channel 反型沟道 inversion density 反转载劣密度

inversion layer 反型层 inversion spectrum 转换光谱 inversion threshold 反转阈值

inverted amplifier 倒置放大器 inverted image 倒像 inverted rotary converter 反用旋转变龙

inverted speech 频率倒置的语言 inverter 倒相器 invertor 反用变流 ion accelerator 离子加速器

ion beam cleaning 离子束清洗 ion beam coating 离子束涂敷 ion beam damage 离子束损伤

ion beam deposition 离子束淀积 ion beam epitaxy 离子束外延 ion beam etcher 离子束腐蚀机

ion beam etching 离子束腐蚀 ion beam exposure 离子束曝光 ion beam lithography 离子束刻蚀法

ion beam machine 离子束腐蚀机 ion beam nitridation 离子束氮化

ion beam printing 离子束刻蚀法 ion beam sputtering 离子束溅射

ion beam technology 离子束工艺

ion beam treatment 离子束处理 ion bombardment 离子轰击 ion burn 离子烧伤

ion clearing process 离子束清洗

ion damaged area 离子注入损伤区 ion diode 离子二极管 ion emission 离子发射

ion enhanced etching 离子增强腐蚀 ion erosion 离子腐蚀 89 ion etching 离子蚀刻

ion etching chamber 离子蚀刻室 ion etching station 离子束腐蚀机

ion exchange membrane 离子交换膜

ion exchange resin 离子交换尸 ion exchanger 离子交换器 ion exposure 离子束曝光

ion feedback 离子反馈

ion getter pump 离子吸气泵 ion gun 离子枪 ion implant gettering 离子注入吸除

ion implantation 离子注入 ion implantation annealing 离子注入后退火

ion implantation dosage 离子注入剂量

ion implantation gettering 离子注入吸除 ion implantation mask 离子注入掩膜

ion implantation profile 离子注入分布图 ion implanted base transistor 离子注入基极 晶体管

ion implanted fet 离子注入场效应晶体管 ion implanted impurity 离子注入杂质

ion implanted integrated circuit 离子注入集 成电路 ion implanted junction 离子注入结

ion implanted layer 离子注入层 ion implanted mos 离子注入金属氧化物半 导体

ion implanted mos device 离子注入金属氧 化物半导体掐 ion implanter 离子注入机

ion induced electron emission 离子轰唤电 子发射 ion noise 离子噪声

ion plating 离子电镀法 ion scattering spectroscopy 离子散射能谱 学

ion sheath 离子壳层 ion source 离子源 ion trap 离子捕集器

ionic cleaning 离子轰诲除 ionic conduction 离子导电 ionic heated cathode 离子加热阴极 ionic impurity 离子杂质 ionic laser 离子激光器 ionic semiconductor 离子半导体 ionic soil 离子污染 ionization 电离化 ionization chamber 电离室 ionization cross section 电离截面

ionization gauge 电离真空计 ionization loss 电离损失 ionization potential 电离电位

ionization probability 电离概率 ionization rate 电离速度 ionized atom 电离原子

ionized donor 电离施主

ionized gas 电离气体 ionizing collision 电离碰撞 ionizing radiation 离子辐射 ionosphere 电离圈

ionospheric canal 电离层沟道 ionospheric cross modulation 虐森堡效应

ionospheric disturbance 电离层扰动

ionospheric echo 电离层反射信号 ionospheric layer 电离层 ionospheric region 电离层区

ionospheric storm 电离层暴 ionospheric wave 电离层波 ipos 多孔氧化物隔离 ir annealing 红外线退火

ir detector 红外探测器 iraser 红外线激光器 iron oxide mask 氧化铁掩模

irradiated plasma 辐照等离子体 irradiation 照射 irradiation hardening 辐照硬化

irradiation time 照射时间 irreversible process 不可逆过程 isdn 综合业务服务网

isl masterslice 集成肖特基逻辑母片 island effect 小岛效应 island region 岛区

isochronous cyclotron 等时性回旋加速器 isolated gate 绝缘栅

isolated gate fet 绝缘栅场效应晶体管 isolated gate mos 绝缘栅金属氧化物半导 体

isolated island 隔离岛 90 isolated silicon gate cmos 绝缘硅栅互补金 属氧化物半导体

isolated substrate 绝缘衬底 isolated well 隔离阱 isolating amplifier 隔离放大器

isolation 绝缘 isolation barrier 隔离势垒 isolation by porous oxide 多孔氧化物隔离

isolation channel 隔离槽 isolation diffusion 隔离扩散 isolation diode 隔离二极管

isolation dopant 隔离区掺杂剂 isolation groove 隔离槽 isolation junction 隔离结

isolation mask 隔离掩模 isolation moat structure 隔离槽结构 isolation oxide 绝缘氧化物

isolation pattern 隔离区图样 isolation pocket 隔离袋 isolator 绝缘体

isoplanar based integrated circuit 等平面隔 离集成电路 isoplanar isolation 等平面隔离

isoplanar process 等平面工艺 isoplanar system 等平面系统 isoplanar technique 等平面工艺 isoplanar transistor 等平面晶体管 isothermal recrystalization 等温再结晶

isotropic antenna 无方向性天线

isotropic etch 蛤同性腐蚀 isotropic etching 蛤同性腐蚀 isotropic radiation 蛤同性辐射

isovalent impurities 等价杂质 iterative impedance 累接阻抗 iterative matching 累接匹配

jack 插孔 jacket 外壳 jammer 干扰发射机 jamming 干扰 jamming station 干扰发射机

jamming transmitter 干扰发射机 jccd p n 结型电荷耦合掐 jet etching 喷射腐蚀

jet etching technique 喷射式腐蚀工艺 jet scrubbing 喷射冲洗 jgfet 结栅场效应晶体管

jig 装配架

jigger 减幅振荡变压器;可变耦合变压器 jitter 颤动 jj 约瑟夫逊结 job stream 椎流 joining 连接

joint 连接 jointing 连接 josephson barrier 约瑟夫逊结 josephson effect 约瑟夫逊效应

josephson junction 约瑟夫逊结 josephson junction circuit 约瑟夫逊结集成 电路

josephson junction logic 约瑟夫逊结逻辑 josephson junction logic gate 约瑟夫逊结逻 辑门电路 josephson tunnel logic 约瑟夫逊隧道结逻 辑电路 journal 日态 jtl 约瑟夫逊隧道结逻辑电路

judder 不稳定 jump 转移 junction 结 junction area 结面积 junction capacitance 阻挡层电容 junction capacitor 结电容 junction ccd p n 结型电荷耦合掐 junction depth 结深度

junction diode 面结型二极管 junction formation 结形成 junction gate fet 结栅场效应晶体管 junction gate static induction transistor 结栅 静电感应晶体管 junction isolation 结绝缘

junction laser 结型激光器 junction line 中继线 junction rectifier 结型整流

junction transistor 面结型晶体管 justification 定位 k map 卡诺图 karnaugh map 卡诺图

karnaugh table 卡诺图 keep alive anode 激励阳极 keep alive electrode 保弧电极

kenotron 高压二极整淋;高压整窿极管 kerf 刮线 kerr cell 克尔盒 kerr effect 克尔效应

key 键 keyboard 链盘 keyboard perforator 键盘凿孔机 keyboard transmitter 键盘发报机

keyer 键控器 keying 键控 keying signal 启闭信号 keypunching 打孔

keystone distortion 梯形失真 killer 载劣扼杀剂 kilometric waves 千米波 kinescope 显像管

klystron 速弟 klystron oscillator 速弟振荡器 knob 电钮

  • 5
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宇努力学习

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值