关于`timescale命令的作用以及时间精度的理解

1、什么是`timescale命令

  `timescale命令绝大部分人都不陌生,多多少少都见过,但仍然存在许多我们需要注意的地方。 `timescale命令是用来说明该命令后的模块的时间单位和时间精度。

格式如下:

`timescale<时间单位>/<时间精度>

  • 时间单位:用来定义模块中仿真时间延迟时间的基准单位的;
  • 时间精度:用来声明该模块的仿真时间的精度程度

需要注意的是:

        在使用`timescale时,前面为" ` ",在键盘左上角,数字键“1”前的按键,英文状态下可按出,并不是单引号‘,别被迷惑了。如果按错了,编译时就会问题!

2、使用要求

除了上述`timescale的格式外,还需要遵守以下三点:

  • 时间精度值不能大于时间单位值;
  • 时间单位和时间精度参量值的数字必须是整数,其有效值位1、10、100;
  • 单位可以是s、ms、us、ns、ps、fs(见下表)。
时间名称时间单位定义
s1 s
毫秒ms10^-3 s
微秒us10^-6 s
纳秒ns10^-9 s
皮秒ps10^-12 s
飞秒fs10^-15 s

3、`timescale命令的用法以及时间精度的理解

例1

`timescale 1ns/1ps :表示模块中所有的时间值都表示1ns的整数倍,延迟时间可表达为带3位小数的实型数(时间精度1ps是时间单位0.001ns,1ps=10^-3 * 1ns ,即延迟时间的最小分辨度为千分之一纳秒,所以是3位小数的实型数)。

例2

`timescale 10us/100ns :表示模块中所有的时间值都表示10us的整数倍,延迟时间可表达为带1位小数的实型数(时间精度100ns是时间单位0.10us,100ns=10^-2 * 10us ,即延迟时间的最小分辨度为百分之一微秒,所以是2位小数的实型数)。

可见夏宇闻《Verilog数字系统设计教程》第4版112页

例3

上述两个例子仅仅是一个概念的介绍,下面将通过代码以及对应的波形来详细讲解

`timescale 10ns/1ns     //时间单位为10ns,时间精度为1ns
 
module test;
    reg set;
    parameter d1 = 1.54;
    parameter d2 = 1.55;
    initial begin
        #1     set = 0;     //1*10 ns  = 10ns
        #d1    set = 1;    //1.54*10ns = 15.4ns ≈ 15ns
        #d2    set = 0;    //1.55*10ns = 15.5ns ≈ 16ns
    end
endmodule

 与之对应的波形如下:

        第1次在#1时对set赋值0,因为时间单位为10ns,#1表示延时1个时间单位,此时延时时间为10ns。
        第2次在#d1时对set赋值1,#d1表示延时1.54个时间单位,又因为时间精度为1ns          1ns=10^-1*10ns,可表达为1位小数的实型数,所以通过四舍五入即为1.5个时间单位,即15ns,加上第1次的延时时间10ns,此时延时时间为10ns+15ns=25ns。
        第3次在#d2时对set赋值0,#d2表示延时1.55个时间单位,同理,根据时间精度可表达为1位小数的实型数,通过四舍五入即为1.6,即16ns,此时延时时间为25ns+16ns=41ns。

 注意:时间精度越高,仿真所消耗的资源和时间就越多,如果没有必要,应尽量将时间精度设置得更大一些!

关于时间精度的介绍是本人根据自己所学知识的理解,可能会存在一些不足,欢迎大家评论指正指正。

参考:

夏宇闻《Verilog数字系统设计教程》第4版

你真的会用`timescale吗?_孤独的单刀的博客-CSDN博客_路timescale

  • 28
    点赞
  • 105
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值