2021-06-27

1.实验目的:

运用assign语句中的条件运算定义完成一个2选1多路选择器

2.实验原理:

在逻辑电路中,常常需要根据某些条件或状态在几个可能的信号或者数值中进行选择,一个典型的例子就是多路选择器,其辅出为选择输入端所选择的输入信号值。 Verilog提供了一个条件运算符(?:)可以简单地实现这种选择性电路,它根据条件表达式确定选取两个值中的一个值进行赋值。

3.实验内容:

module mux2to1 (w0,w1,s,f);
input w0,w1,s;
output f;
assign f=s?w1:w0;
endmodule

4.实验截图:

(1)

(2)

(3)

(4)

5.实验结果:


6.实验视频:

https://b23.tv/XI61I9?share_medium=android&share_source=qq&bbid=XYFFE58FF96F321BBFF65CFB7DAED93FD47E2&ts=1624783276380

7.编写博客

8.结束
 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值