ISE 14.7基础使用方法

1、打开软件,点击file->new project,新建工程

在这里插入图片描述

2、设置FPGA芯片的相关信息,需要与自己所使用的FPGA芯片信息保持一致(1~4),然后设置综合与仿真工具(即5和6,二者基本不变),随后就是自己所使用的硬件描述语言(7)。其中,步骤1到4参考下面第二张图

在这里插入图片描述
在这里插入图片描述

3、这里是之前编辑的汇总,直接finish就行

在这里插入图片描述

4、新建源文件

在这里插入图片描述

5、选择文件类型,填写文件名,然后一路next和finish下去

在这里插入图片描述

6、填写代码

在这里插入图片描述

7、开始编译,成功后可生成原理图

在这里插入图片描述

8、原理图生成完成,表示编译成功在这里插入图片描述

9、开始功能仿真,此时需要新建一个仿真文件,后面同样一路next和finish

在这里插入图片描述
在这里插入图片描述

10、系统自动生成仿真文件内容,可以稍作修改,比如将所有情况枚举出来(下图中未作修改)

在这里插入图片描述

11、开始仿真,此处也可直接双击(后续所有节点操作都可),等待结果

在这里插入图片描述

12、观察弹出来的时序窗口,并且可以在下方控制台中输入代码,如run 1ms,直接回车。图中可以看出,abc均为低电平,因为0+0=0,所以仿真结果无误

在这里插入图片描述

13、仿真结束后,可以开始板级仿真,如下方式打开引脚约束(配置)截面,弹出来的小窗口全部点击yes和close

在这里插入图片描述

14、打开的窗口如下所示

在这里插入图片描述

15、设置对应的引脚与电平(此处随意设置),保存后关闭软件

在这里插入图片描述

16、此时可以看到,已经生成了对应的ucf文件,打开后如图所示

在这里插入图片描述

17、回到之前的界面,准备布局布线和生成FPGA可识别的bit文件,此处等待时间较长

在这里插入图片描述

18、完成后界面如图

在这里插入图片描述

19、开始准备配置设备的连接,如下点击,遇到小窗口,直接点击OK

在这里插入图片描述

20、出现的配置界面如下

在这里插入图片描述

21、双击Boundary Scan

在这里插入图片描述

22、在空白处右击,如下选择(此时需要先将FPGA与上位机连接好)

在这里插入图片描述

23、若是连接好,直接出现下面的结果,表示身份识别成功,4处直接点击yes

在这里插入图片描述

24、然后出现如下界面,直接找到自己之前生成的bit文件,点击open

在这里插入图片描述

25、然后出现这个界面,表示是否下载到flash中,若点击yes,意味着FPGA掉电后程序依旧存在,本人此处选择No

在这里插入图片描述

26、直接OK在这里插入图片描述

27、右击,选择program,表示开始下载程序

在这里插入图片描述

28-1、出现如下情况,表示信号线路不一定完整,可以查看是否JTAG接错线,或者接触不良,或者参考以下链接:

https://electronics.stackexchange.com/questions/112415/the-idcode-read-from-the-device-does-not-match-the-idcode-in-the-bsdl-file

https://support.xilinx.com/s/article/13529?language=en_US#:~:text=If%20both%20Initialize%20Chain%20and%20Get%20Device%20IDCODE,opened%20or%20if%20the%20devices%20were%20added%20manually.
在这里插入图片描述

28-2、如下情况,表示下载成功

在这里插入图片描述

  • 3
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
ISE 14.7是Xilinx公司的一款FPGA设计软件,这里是ISE 14.7手把手使用教程: 1. 下载并安装ISE 14.7软件 首先需要在Xilinx公司的官网上下载ISE 14.7软件,并按照提示进行安装。安装完成后,可以在安装目录下找到ISE软件。 2. 创建一个新工程 打开ISE软件后,选择“File”菜单中的“New Project”选项,弹出“New Project Wizard”向导,按照提示进行设置,包括工程名称、项目目录、FPGA型号等。 3. 添加源文件 在新建工程后,需要添加设计文件。在ISE软件中,设计文件包括VHDL文件、Verilog文件、UCF文件等。可以使用ISE自带的编辑器进行编写,或者使用其他编辑器编写后再添加到ISE中。添加文件后,需要进行约束(Constraints)设置,包括时钟频率、时序等。 4. 进行综合、实现和下载 完成设计文件和约束设置后,需要进行综合和实现。综合是将设计文件转换成逻辑门电路,实现是将逻辑门电路映射到FPGA器件中。完成综合和实现后,可以生成比特流文件(Bitstream),用于下载到FPGA器件中。下载可以使用Xilinx公司提供的下载工具或者第三方下载工具。 5. 调试和优化 在下载完成后,需要进行调试和优化。可以使用ISE提供的仿真工具进行仿真,或者使用外部的仿真工具进行仿真。调试和优化包括时序约束调整、时钟域划分、资源占用优化等。 以上就是ISE 14.7手把手使用教程的基本步骤,希望能够帮助到你。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

.yhww

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值