Modelsim 如何创建Altera库

以 (altera_mf)为例进行介绍

1、点击File,创建新的Libiary,弹出新建库的窗口,我们选择第三项“a new library and a logical mapping to it”。Library Name输入库名称,Library Physival Name输入库的地址,然后点击OK。

2、然后点击Project栏,

右键空白处,会弹出

3、点击Existing File,然后找到 altera_mf 库的地址

“E:\ Quartus_13_0_231030\quartus\eda\sim_lib

找到想要添加的IP的.V代码,点击打开。

4添加进来之后选择

5、然后选择第一步新建的Library,点击OK

6、然后点击Complie,再去Libiary看,就可以发现编译成功了

 

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值