FPGA开发软件安装:史上最全Vivado安装教程(包含questasim仿真软件,vivado与questasim关联、编译软件sublime使用,Verilog 语法高亮)

史上最全:Xilinx FPGA板子开发软件Vivado、仿真软件questasim安装、vivado与questasim关联、编译软件sublime使用,Verilog 语法高亮教程

vivado与questasim资源下载

VIVADO及仿真软件安装包↓↓↓

Vivado安装

在安装之前,必须检查一下自己的电脑用户名是否采用英文命名,如果是中文,需修改后才能安装,否则可能出现安装失败!!!

关掉自己的各种杀毒软件,否则可能会安装失败。

Vivado软件安装

  1. 将资源解压后,打开VIVADO2018_3文件夹,打开Xilinx_Vivado_SDK_2018.3_1207_2324文件夹,双击打开下面的xsetup.exe图标。
    在这里插入图片描述
  2. 出现下面页面后,点击Ignore,再点击Ignore,再点击Ignore(一共需要点击三次)。
    在这里插入图片描述
    在这里插入图片描述
  3. 最后出现,如下界面,三个 I Agree 全点,点击Next。
    在这里插入图片描述
  4. 选择中间的Vivado HL System Edition,点击Next。
    在这里插入图片描述
  5. 点击Next。
    在这里插入图片描述
  6. 修改安装路径。(强烈要求安装在固态盘,若没有固态盘就安装在C盘,不然以后使用时会打开很慢)

我的E盘是固态盘,所以我选择在E盘。再把右侧的All users点上,其他的不用更改。
在这里插入图片描述
7. 点击Next,然后点Yes。
在这里插入图片描述
8. 出现下面界面,点击Install。
在这里插入图片描述
9. 持续等待即可,有些电脑等待时间会很长,半个小时也有可能。

在这里插入图片描述

Vivado软件free

  1. 安装完成后,会出现如下界面。
    在这里插入图片描述
  2. 点击Load License–>Copy License,会弹出一个选择框。
    在这里插入图片描述
  3. 选择到安装包的Vivado_license_2037.lic,点击打开确定
    在这里插入图片描述
  4. 再重复点击Load License–>Copy License–>选择到安装包的xilinx_ise_14.7…,点击确定,下面出现如下的这个界面,free安装成功。点击确定,然后叉掉Vivado License Manager即可。
    在这里插入图片描述
  5. 接下来就会发现桌面上出现下面这个图标,代表安装free成功。
    在这里插入图片描述

Questasim仿真软件安装

Questasim仿真软件安装

  1. 打开下载的资源文件,打开10.4e文件夹,双击questa_sim-win64-10.4e
    在这里插入图片描述2. 出现以下界面,点击下一步。
    在这里插入图片描述
  2. 选择安装位置,点击下一步,同意。
    在这里插入图片描述
  3. 等待安装。
    在这里插入图片描述
  4. 出现这个界面,点击是。
    在这里插入图片描述
  5. 出现此界面点击 是。
    在这里插入图片描述
划重点,这里必须认真看一下,否则可能会出现蓝屏
  1. 出现这个界面,一定要点否否否否否,不然极有可能蓝屏,而且电脑不断重启,严重的可能会导致重装系统。
    如果蓝屏,请参考这个博客:
    蓝屏的小伙伴,去看这个,超详细
    在这里插入图片描述
  2. 点击完成,完成安装。
    在这里插入图片描述

Questasim仿真软件free

  1. 点击10.4e文件夹里面的Patch文件夹–>Readme,txt,此时已经完成了前两步,接下来第三步,将两个文件复制到安装目录下的win32/win64文件夹中,将mgls64.dll文件的只读属性去掉。
    在这里插入图片描述

在这里插入图片描述
2. 然后以管理员身份运行cmd,cd到安装目录下的win64文件夹运行patch_dll.bat,之后运行patch_dll.bat后成功生成license文件,取名为LICENSE.TXT,将其另存为一个目录下,并记住它所在位置(我保存在安装的目录下,D:\questasim64_10.4e下)。
在这里插入图片描述
在这里插入图片描述
3. 找到刚刚保存的LICENSE.TXT,右键属性,安全,复制对象名称内容。
在这里插入图片描述
4. 接下来添加系统变量,MGLS_LICENSE_FILE指向LICENSE.TXT,步骤如下:打开此电脑–>属性–>高级系统设置–>环境变量–>在下面的系统变量里面新建变量名输入如下图(也可以在Readme.txt复制粘贴),变量值指向LICENSE.TXT,上面的用户变量需要添加两个变量,一个是同样操作,新建变量名为MGLS_LICENSE_FILE,变量值为刚刚那个LICENSE.TXT路径,第二个添加LM_LICENSE_FILE,变量值添加跟LICENSE.TXT同样路径下的那个license.dat文件,–>添加之后questasim就能正常打开了,如果可以打开,就证明free成功,如果出现错误,多次重复步骤2-3,并注意将mgls64.dll文件的只读属性去掉,多次重复无效果,建议重启再试。
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

关联Vivado与Questasim软件

1.提前在quesatasim64_10.4e文件夹创建一个文件夹,命名为vivado_2018libs,用于存储仿真库(也可以在任意路径创建,但是必须是英文下的路径,我为了方便,在quesatasim64_10.4e中创建),打开Vivado,点击上面栏Tools,点击Settings
在这里插入图片描述
2.左侧边栏选定第三方仿真软件,也就是3rd Party Simulators,在右侧QuestaSim中,选择路径到其安装路径的win64下,下面仿真库,选到我们在第一步建立的文件夹,如下图,然后点击Apply–>Ok。
在这里插入图片描述
3.然后再次点击vivado的Tools,选择Compile Simulation Libraries,会出现以下界面,检查方框1,与我所填一样即可,方框2,正常都是已经被添加好了,如果,未添加,上面的location,选到咱们第一步新建的那个库的文件夹,下面的path选到安装路径的win64。
在这里插入图片描述
4.然后点击下方的Compile,进行编译库。
在这里插入图片描述
5.我们可以点击Background,让其在后台运行,我们在Tcl Console下面可以看见编译进度,编译需要稍微长一点的时间,也是因电脑而异,我当时编译了快20分钟。
在这里插入图片描述
6.最后会出现如下图1的表格类,代表编译库成功,当然也会出现下图2的红色ERROR,这个不必担心,这个是因为,有几个IP核,我们无法free,当然这个在绝大多数情况下都是不耽误使用的,不必担心,然后关闭vivado,关联成功。
在这里插入图片描述

在这里插入图片描述

编译软件sublime的关联使用与添加Verilog语法高亮

由于Vivado本身自带的编译器不是很好用,个人喜欢添加第三方软件。

sublime安装包链接资源

sublime 资源下载,请点这里↓↓↓

1.这个包不是很大就几M,建议直接放在C盘,不然可能会出错,将Sublime Text文件夹放在C:\Program Files (x86)目录下。
在这里插入图片描述
2.然后打开vivado,点击Tools–>Settings–>Text Editor,选择下拉,点击Custom Editor,不要选择sumlime
在这里插入图片描述在这里插入图片描述
3. 点击右侧三个点,在下面的Editor栏写上:
C:/Program Files (x86)/Sublime Text/sublime_text.exe [file name]:[line number]
如果放在了别的安装路径,参照此更改路径即可,然后点击OK。
在这里插入图片描述
4. 然后再创建文件双击,就可以调用sumlime了,接着解决Verilog 语法高亮问题。

寻找安装包
ctrl + Shift + P 打开界面,输入 install,点击 install package

在这里插入图片描述

安装verilog 语言
等待一会儿,在 Install Package 结束后,等待一下,不要着急,出现下面的框框,在输入框内输入verilog,点击verilog进行安装;

在这里插入图片描述在这里插入图片描述

选择Verilog 语法,产生高亮
点击右下角的 plain text进行重新选择语言,进去后选择新安装的verilog,即可高亮;

之后就可以正常使用啦,展示效果:
在这里插入图片描述

  • 9
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 7
    评论
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

九千七七

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值