02KEY+SEG 按键控制数码管

一、S7、S6、S5、S4分别控制数字1、2、3、4的显示

#include<stc15.h>
unsigned char code 
tab[]={0XC0,0XF9,0XA4,0XB0,0X99,0X92,0X82,0XF8,0X80,0X90,0XBF,0XFF};
unsigned char S7_Flag=0;S6_Flag=0;S5_Flag=0;S4_Flag=0;
void Delay_MS(unsigned int MS);
void KeyScan(void);
void main(void)
{
	P2=0XA0;P0=0X00;P2=0X80;P0=0XFF;
	P2=0XC0;P0=0X01;P2=0XFF;P0=0XFF;//打开第一个数码管
	while(1)
	{
		KeyScan();
		if(S7_Flag==1){S7_Flag=0;P0=tab[1];}
		if(S6_Flag==1){S6_Flag=0;P0=tab[2];}
		if(S5_Flag==1){S5_Flag=0;P0=tab[3];}
		if(S4_Flag==1){S4_Flag=0;P0=tab[4];}
	}

}
void Delay_MS(unsigned int MS)
{
	unsigned i,j;
	for(i=0;i<MS;i++)
	for(j=853;j>0;j--);
}
void KeyScan(void)	
{
	if(P30==0)
		Delay_MS(10);
		if(P30==0)S7_Flag=1;
		while(!P30);
	if(P31==0)
		Delay_MS(10);
		if(P31==0)S6_Flag=1;
		while(!P31);
	if(P32==0)
		Delay_MS(10);
		if(P32==0)S5_Flag=1;
		while(!P32);
	if(P33==0)
		Delay_MS(10);
		if(P33==0)S4_Flag=1;
		while(!P33);
}

二、按键控制正计时(S7 0-9)和倒计时(S6 9-0)

#include<stc15.h>
unsigned char code 
tab[]={0XC0,0XF9,0XA4,0XB0,0X99,0X92,0X82,0XF8,0X80,0X90,0XBF,0XFF};
unsigned char S7_Flag=0;S6_Flag=0;
unsigned char SEG=0;
unsigned int DT=500;
void Delay_MS(unsigned int MS);
void KeyScan(void);
void main(void)
{
	P2=0XA0;P0=0X00;P2=0X80;P0=0XFF;
	P2=0XC0;P0=0X01;P2=0XFF;P0=0XFF;//打开第一个数码管
	while(1)
	{
		KeyScan();
		if(S7_Flag==1)
		{
			S7_Flag=0;
			for(SEG=0;SEG<9;SEG++)
			{
				P0=tab[SEG];
				Delay_MS(DT);
			}
		}
		if(S6_Flag==1)
		{
			S6_Flag=0;
			for(SEG=9;SEG>0;SEG--)
			{
				P0=tab[SEG];
				Delay_MS(DT);
			}
		}
		
	}

}
void Delay_MS(unsigned int MS)
{
	unsigned i,j;
	for(i=0;i<MS;i++)
	for(j=853;j>0;j--);
}
void KeyScan(void)	
{
	if(P30==0)
		Delay_MS(10);
		if(P30==0)S7_Flag=1;
		while(!P30);
	if(P31==0)
		Delay_MS(10);
		if(P31==0)S6_Flag=1;
		while(!P31);
}

三、打开其他位数码管进行显示

#include<stc15.h>
void main(void)
{
	P2=0XA0;P0=0X00;P2=0X80;P0=0XFF;
//	P2=0XC0;P0=0X01;P2=0XFF;P0=0XFF;//打开第1个数码管
//	P2=0XC0;P0=0X02;P2=0XFF;P0=0XFF;//打开第2个数码管
//	P2=0XC0;P0=0X03;P2=0XFF;P0=0XFF;//打开第1、2个数码管
//	P2=0XC0;P0=0X04;P2=0XFF;P0=0XFF;//打开第3个数码管
	P2=0XC0;P0=0X0F;P2=0XFF;P0=0XFF;//打开第1、2、3、4个数码管
	P0=0XF9;
	while(1);
}

以下是Verilog语言实现按键控制数码管加减的代码示例: ```verilog module key_controlled_counter( input clk, // 时钟信号 input rst, // 复位信号 input key_up, // 加1按键信号 input key_down, // 减1按键信号 input key_reset, // 复位按键信号 output reg [3:0] seg // 数码管输出信号 ); reg [3:0] cnt; // 计数器 reg [29:0] cnt_key; // 按键消抖计数器 wire key_flag; // 按键标志位 // 按键消抖 always @(posedge clk) begin if (!rst) begin cnt_key <= 0; end else begin if (key_up == 0 || key_down == 0 || key_reset == 0) begin if (cnt_key == 500) begin cnt_key <= cnt_key; end else begin cnt_key <= cnt_key + 1; end end else begin cnt_key <= 0; end end end // 按键标志位 assign key_flag = (cnt_key == 499) ? 1 : 0; // 计数器逻辑 always @(posedge clk) begin if (!rst) begin cnt <= 0; end else begin if (key_flag) begin if (key_up == 0) begin cnt <= cnt + 1; end else if (key_down == 0) begin cnt <= cnt - 1; end else if (key_reset == 0) begin cnt <= 0; end end end end // 数码管输出逻辑 always @(cnt) begin case (cnt) 4'd0: seg <= 4'b0000; 4'd1: seg <= 4'b0001; 4'd2: seg <= 4'b0010; 4'd3: seg <= 4'b0011; 4'd4: seg <= 4'b0100; 4'd5: seg <= 4'b0101; 4'd6: seg <= 4'b0110; 4'd7: seg <= 4'b0111; 4'd8: seg <= 4'b1000; 4'd9: seg <= 4'b1001; default: seg <= 4'b1111; endcase end endmodule ``` 该代码实现了一个按键控制的计数器,通过按下加1、减1和复位按键来控制计数器的计数,并将计数器的值输出到数码管上进行显示。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值