system verilog如何使用二维动态数组

program dynamic_array;
  
  //dynamic array declaration
  bit [7:0] d_array[][];
  
  initial begin
    //memory allocation
    d_array = new[3];        //首先创建第一层的
    
    foreach(d_array[i])
      d_array[i] = new[2];    //然后创建第二层的
    
    //assigning random value to elements
    foreach(d_array[i,j]) d_array[i][j] = $random;
    
    //displaying array elements
    foreach(d_array[i,j])   
      $display("\td_aaray[%0d,%0d] = %0d",i,j, d_array[i][j]);
  end

endprogram

 

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值