vcs仿真中一个简单的makefile

VCS(Verilog Compiled Simulator)是常用的RTL仿真工具。下面介绍一个简单的makefile,可以完成RTL编译,仿真和erdi启动。

comp:
    $(VCS) +incdir+catalog\
    -f file.f

run:
    $(SIMV)


VCS = vcs -full64+nospecify +nottimingcheck -sverilog -timescale=1ns/1ns +lint=TFIPC-L\
       +warn=noMPD\
       -debug_access+all +vpi\
        -CFLAGS -DVCS

verdi:
    verdi +v2k -nologo -sverilog +incdir+\
    +systemverilogext+sv +verukig2001ext+v -f file.f -top testbench

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值