VCS makefile 文件编写

.PHONY: com cov clean debug
OUTPUT = simv_fsm_moore           #设置仿真文件名
ALL_DEFINE = +define+DUMP_VPD     #添加宏定义

#code coverage command
CM = -cm line+cond+fsm+branch+tgl    #设置覆盖率检测
CM_NAME = -cm_name $(OUTPUT)        #覆盖率名字
CM_DIR = -cm_dir ./$(OUTPUT).vdb

#vpd file name
VPD_NAME = +vpdfile+$(OUTPUT).vpd

#compile command
VCS = vcs -sverilog +v2k -timescale=1ns/1ns \
        -debug_all                            \
        +notimingcheck                        \
        +nospecify                            \
        +vcs+flush+all                        \
        $(CM)                               \
        $(CM_NAME)                          \
        $(CM_DIR)                           \
        $(ALL_DEFINE)                        \
        $(VPD_NAME)                            \
        -o $(OUTPUT)                        \
        -l compile.log    

#simulation command
SIM =./$(OUTPUT) \
        $(CM) $(CM_NAME) $(CM_DIR)  \
        $(VPD_NAME)\
        -l $(OUTPUT).log

#start compile
com:
    $(VCS) -f file_list.f

#start simulation
sim:
    $(SIM)

#Show the coverage
cov:
    dve -covdir *.vdb &
debug:
    dve -vpd $(OUTPUT).vpd &

#Start clean
clean:
    rm -rf ./csrc *.daidir ./csrc *.log *.vpd *.vdb simv* *.key *race.out*





其中 file_list.f为

-timescale=1ns/1ns

./rtl/fsm_moore.v

./tb/tb_fsm_moore.v








评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值