FPGA-vivado仿真导出波形文件

在vivado仿真中,没有提供直接导出波形文件的功能,我们要导出波形文件可以按照下面方式进行:

1、运行仿真后,在simulation界面的TCL中运行一下命令:
  • open_vcd
  • log_vcd [get_object /testbench/module/*] //这里选组要导出的信号,*表示模块module下的所有信号
  • run 50us //运行仿真
  • close_vcd
2、上述步骤完成后,我们就可以查看文件了,路径如下:

项目工程\mcu.sim\sim_1\synth\timing\xsim\dump.vcd
可以使用gtkwave直接打开查看信号了:

在这里插入图片描述

  • 3
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado仿真中,可以通过以下步骤导出波形数据: 1. 运行仿真并打开TCL控制台。 2. 在TCL控制台中输入以下命令:open_vcdlog_vcd [get_object /testbench/module/*]。这里的"/testbench/module/*"是需要导出信号的路径,可以根据具体情况进行修改。 3. 运行仿真一段时间,比如运行50us。 4. 在TCL控制台中输入命令:close_vcd。 5. 完成上述步骤后,你就可以查看导出波形数据了。导出文件通常保存在项目的工作目录下的vcd文件中,具体路径可以在Vivado中查看。 通过以上步骤,你可以成功导出Vivado仿真波形数据。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [使用Vivado保存仿真波形数据并读取](https://blog.csdn.net/m0_46498597/article/details/111501924)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [FPGA-vivado仿真导出波形文件](https://blog.csdn.net/roctwo/article/details/118666766)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值