FPGA-vivado仿真导出波形文件

在vivado仿真中,没有提供直接导出波形文件的功能,我们要导出波形文件可以按照下面方式进行:

1、运行仿真后,在simulation界面的TCL中运行一下命令:
  • open_vcd
  • log_vcd [get_object /testbench/module/*] //这里选组要导出的信号,*表示模块module下的所有信号
  • run 50us //运行仿真
  • close_vcd
2、上述步骤完成后,我们就可以查看文件了,路径如下:

项目工程\mcu.sim\sim_1\synth\timing\xsim\dump.vcd
可以使用gtkwave直接打开查看信号了:

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值