HDLBits之Exams/ece241 2013 q4

Also include an active-high synchronous reset that resets the state machine to a state equivalent to if the water level had been low for a long time (no sensors asserted, and all four outputs asserted).

题解:设计一个状态机判断水位并根据水位选择流量开关

输入为S(即当前水位)

当前状态是S0:输入为000,保持S0,输入为001,转到S1

如果是S1:输入为000,回到S0,001保持S1,011转到S2(注意这里是011不是010因为水位到达S2处是S1处水位必然也是1)

如果是S2:输入为001,回到S1,011保持S2,111转到S3

如果是S3:输入为011,回到S2,111保持S3

输出:(根据未来状态判断输出)

若未来状态是S0: {fr3,fr2,fr1} = 3'b111; dfr = 1'b1;(所有水位都开)

若未来状态是S1: {fr3,fr2,fr1} = 3'b011(根据表格)

判断若是当前状态为S2,未来状态为S1(S2到S1):dfr=1

S1到S1:dfr=dfr

S0到S1:dfr=1

若未来状态是S2:{fr3,fr2,fr1} = 3'b001

判断若是S3到S2:dfr=1

S2到S2:dfr=dfr

S0到S1:dfr=0

若未来状态是S3:{fr3,fr2,fr1} = 3'b000

判断若是S3到S3:dfr=dfr

S2到S3:dfr=0

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值