VCS coverage覆盖率工具常用功能

目录

简介

Coverage Metrics覆盖指标:

merge

VCS相关命令

常用编译选项:

常用仿真选项:

系统函数:

使用URG及其参数:

用DVE查看coverage:


简介

可通过 -cm_hier 配置文件来控制覆盖率收集范围

Coverage Metrics覆盖指标:

-cm

vcs使用编译选项 -cm (line+cond+tgl)生成simv.vdb文件夹,仿真选项中使用-cm (line+cond+tgl),会在simv.vdb/snps/coverage/db/testdata/your_cm_name下产生覆盖率xml文件;

-cm_name

编译选项or仿真选项 -cm_name your_cm_name 将会生成覆盖率文件:simv.vdb/snps/coverage/db/testdata/your_cm_name;

-cm_dir

默认情况下,vcs会编译出可执行文件simv,同时,会生成simv.vdb,如果使用了编译选项-o simv_name,可执行文件会更名为simv_name,而vdb文件的名字会变成simv_name.vdb;

编译选项 -cm_dir simv_path_name,可以独立指定vdb的路径和文件名。vcs -cm tgl -cm_dir /net/design1/mycm source.v,会在/net/design1下生成mycm.vdb;

如果在编译选项中指定了cm_dir,则默认此simv的所有coverage数据会产生在其指定路径;

仿真选项-cm_dir simv_path_name,仅指定本次仿真的coverage数据存放路径;

-cm_dir 优先级大于-o;

在使用urg时,用-dir 载入各个vdb文件夹:urg -full64 -dir /net/design1/my_cov_info -dir /net/design1/int_dat_files;

merge

在一次编译多次仿真时,可在仿真选项中使用cm_dir 或 cm_name 来改变存放覆盖率文件的名称和位置,以避免各个仿真的覆盖率文件被覆盖;

urg -full64 -dir simv.vdb simv1.vdb simv2.vdb -dbname mergedir/merged 会将所有覆盖率xml文件合并在mergedir.vdb/snps/coverage/db/testdata/merged下,并产生报告文件urgReport;

VCS相关命令

常用编译选项:

-cm

可选择line | cond | fsm | tgl | branch | assert,如-cm line+cond+tgl

-cm_dir

-cm_name

-cm_hier filename

控制覆盖率收集范围

-cm_tgl mda

使能多维数组的toggle coverage 收集

常用仿真选项:

-cm

-cm_dir

-cm_name

-covg_cont_on_error

当仿真运行到 illegal functional coverage bin,将会继续运行

-covg_disable_cg

关闭所有的功能覆盖率covergroups

-covg_dump_range

保存bin的定义,配合urg的-group show_bin_values,将会把bin的定义生成到report中

实用的选项:

-covg_disable_cg编译选项、仿真选项

若作为编译选项,则关闭covergroup并忽略其实例相关的语句;

若作为仿真选项,则仅关闭covergroup;

可用于分析function coverage带来的性能降低;

-cg_coverage_control=0

从0ns关闭function coverage,但可以使用$cg_coverage_control系统函数再次打开;

-cm_glitch period 编译选项、仿真选项

用于避免毛刺带来的多余coverage,如–cm_glitch 0可过滤delta cycle 毛刺。period的单位是timeunit;

作为编译选项时,可过滤所有类型的覆盖率;

作为仿真选项时,仅过滤toggle coverage;

系统函数:

系统函数可以在运行期动态查询或修改覆盖率选项;

系统函数需要编译选项和仿真选项 -cm;

$cm_coverage(mode,type,include_hierarchy,"module_or_instance",...)

查询正在收集的覆盖率类型,使能或关闭某些覆盖率类型;

$cm_get_coverage and $cm_get_limit

使用URG及其参数:

利用urg产生覆盖率报告,如:urg -full64 -dir simv.vdb -report both & 将在both文件夹产生report;

-dir directory_name

用于处理的原数据库,可跟多个vdb文件,空格隔开;

-f file_name

用于处理的原数据库的filelist;

-dbname dirname/testname

merge覆盖率将其生成在dianame.vdb文件夹下,若无testname,则testname默认为test;

-noreport

不产生report,常配合 -dbname 使用

-elfile <file>

urg -elfile filename.el,用于加载el文件;

-elfilelist <filelist>

用法同verilog的filelist;

-format text

仅生成text报告;

-format both

生成test和html报告;

-hier filename

用法同vcs命令选项;

-line nocasedef

不计算default case的line coverage;

-show brief

report中仅显示未覆盖的部分;

-show tests

显示是哪些testcase覆盖到了此处,可搭配-show maxtests N(默认3)使用;如果是merge后的vdb文件,那么则无法看到具体的testcase;

用DVE查看coverage:

-使用 dve -full64 -cov -dir simv.vdb& 打开图形界面;

-过滤可排除的覆盖率;

 

-将Exclude保存为el文件;

  • 12
    点赞
  • 233
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
vcs工具进行PSL(Property Specification Language)功能覆盖率验证时,你可以使用以下步骤: 1. 定义功能属性规范(PSL规范):首先,你需要定义一组功能属性规范,以描述所需的功能覆盖率。这些规范应该基于系统的设计和需求,并使用PSL语言编写。例如,你可以编写一个属性规范来确保所有输入都有相应的输出。 2. 集成PSL规范:将编写的PSL规范集成到设计中,以便在验证过程中检查其功能覆盖率。这通常涉及将PSL规范与设计模型进行绑定,以便在仿真期间对其进行评估。 3. 运行仿真:使用vcs工具进行仿真,运行测试向量或者测试用例,以验证设计模型的功能。在仿真过程中,vcs工具会评估PSL规范,并记录覆盖率信息。 4. 分析覆盖率报告:仿真完成后,你可以分析vcs生成的覆盖率报告,以了解PSL规范的功能覆盖率情况。报告通常提供了各个属性规范的覆盖率百分比,以及未满足的属性规范列表。 下面是一个简单的例子,展示了如何使用vcs工具进行PSL功能覆盖率验证: ```verilog module design_model(input clk, input [3:0] data, output reg [3:0] result); always @(posedge clk) begin if (data == 4'b0001) begin result <= 4'b0010; end else if (data == 4'b0010) begin result <= 4'b0100; end else begin result <= 4'b0000; end end endmodule property p1; @(posedge clk) ($rose(data == 4'b0001) |-> $stable(result == 4'b0010)); endproperty property p2; @(posedge clk) ($rose(data == 4'b0010) |-> $stable(result == 4'b0100)); endproperty module testbench; reg clk; reg [3:0] data; wire [3:0] result; design_model dut(clk, data, result); initial begin clk = 0; forever #5 clk = ~clk; end initial begin data = 4'b0001; #10 data = 4'b0010; #10 data = 4'b0100; $finish; end initial begin $psl_report("coverage_report.txt"); $psl_finish(100); end // ... endmodule ``` 在上面的例子中,我们定义了一个简单的Verilog设计模型,并编写了两个PSL属性规范(p1和p2)。testbench模块用于实例化设计模型,并在仿真过程中生成覆盖率报告。 在运行仿真之后,你可以分析生成的覆盖率报告,以确定PSL规范的功能覆盖率情况。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值