DC-系统模块划分

DC以两种方法修改划分

1.自动修改划分

compile -auto_ungroup area|delay

DC在综合时将自动取消小的模块分区。取消模块分区由变量

compile_auto_ungroup_delay_num_cells

compile_auto_ungroup_area_num_cells  来控制

两个变量的预设默认值分别是500和30,可以用set修改这两个变量

值得注意的是:

可以用report_auto_ungroup 来报告取消了哪些分区

compile -ungroup_all DC在综合时自动取消所有的模块分区或层次结构。该命令不能取消附加了dont_touch属性的模块分区


2.手工修改划分

使用group 和ungroup

group 命令产生新的层次模块

ungroup 命令取消一个或所有的模块分区

ungroup -all -flatten

ungroup U23 -simple_names(得到原来的非层次的单元名)













  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值