【芯片设计- RTL 数字逻辑设计入门 10 -- 奇偶校验实现】

本文介绍了如何在Verilog中使用单目运算符^进行32位数据的奇偶校验,同时讨论了在testbench中解决VCS仿真中的数据初始化问题。通过sel选择输出正常或取反校验结果。
摘要由CSDN通过智能技术生成

奇偶校验

现在需要对输入的32位数据进行奇偶校验,根据sel输出校验结果。
在这里插入图片描述

实际上这里做的是奇偶检测,如果是奇数个 1 则结果为 1,使用单目运算符 ^ 即可。

输入描述

  • 输入信号 data_in, sel
  • 类型 wire

输出描述

  • 输出信号 q
  • 类型 wire

单目运算符(|,^,&)

假设 d = 3'b100;则:

e =& d
   = d
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

主公讲 ARM

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值