FPGA之quartus入门第一课

这篇博客是FPGA新手的入门教程,作者通过quartus软件进行了4-16译码器的设计,包括新建工程、原理图输入、芯片连线、编译和综合。接着,作者介绍了如何创建波形文件进行功能仿真,并展示了仿真结果。随后,博主分享了制作M=12和M=20计数器的步骤,采用置数法。博客结尾,作者自称是quartus菜鸟,欢迎读者提出批评和建议。
摘要由CSDN通过智能技术生成

作业一 4-16译码器

1.打开quartus,新建一个工程,定义实体,采用原理图输入法,采用两片74138芯片,连线
这里写图片描述
2编译,综合。
这里写图片描述
3建立波形文件,仿真。
file->new->vector waveform file
processing->generate functional simulation netlist
注,坊真前需添加输入输出管脚
双击空白处->node finder
仿真结果如下!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值