vivado 报错信息记录

最近弄zynq,用vivado开发时遇到一些问题记录下。

1 [DRC UCIO-1] Unconstrained Logical Port: 24 out of 175  logical ports have no user assigned specific 

这是编译bitstream时报错,解决办法:返回到open implemented design ,打开io port 注意检查 FIXED是否打钩了,因为我后来又增加了一些io,发现 FIXED没有打钩,打上就好了。

2 vivado导出硬件后没有自动生成sdk驱动

我这个是在例子里直接用代码改的ip,用到了axi-lite总线还有axis,对比发现ip的s_axi接口

缺少了 C_S00_AXI_BASEADDR 和 C_S00_AXI_HIGHADDR两个参数,导致无法生成驱动。后面重新生成顶层文件,把其他代码添加进去。后面如果出现错误 [BD 41-1356] Address block </ad7606_0/S00_AXI/S00_AXI_reg> is not mapped into </processing_system7

需要在address Editor页 右键点击未分配端口,选择 auto assign address 自动分配地址,即可
更新ip后,需要在diagram页,右键点击bd文件,点击generate output product,产生输出文件

3 IP IS locked

在 Tcl console中 执行如下一条命令,可复制
upgrade_ip [get_ips]

以上估计也是新手容易犯的问题,有类似问题同学可以参考下哈

  • 8
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
vivado 报错8-2798是一种综合错误,通常与时钟控制有关。然而,根据提供的引用内容,无法准确判断这个错误的具体原因。在提供的引用内容中,没有包含关于vivado报错8-2798的详细信息。引用提到的解决办法是升级操作系统到Windows 11。引用提到的错误信息是Detected incompatible modelsim simulator installation version '2020.4'! The supported simulator version for the current Vivado release is '2019.4',这是与Vivado版本和模拟器版本不兼容的错误。引用提到的解决办法是点击错误提示,然后修改导致错误的代码行。基于这些信息,我无法直接回答vivado报错8-2798的具体解决办法。建议您提供更多关于该错误的详细信息,以便我能够帮助您解决问题。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [Vivado报错集锦](https://blog.csdn.net/weixin_44422853/article/details/129275519)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *3* [vivado报错:USF-XSim-62的常见解决办法](https://blog.csdn.net/a1197512981/article/details/126315809)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值