电路设计中控制时钟偏移方法

时钟偏移

整个芯片中时钟信号到达时间的差异称为时钟偏移。时序必须满足寄存器建立和保持时间的要求是基本设计原则。数据传播延迟和时钟偏移都用于与之相关的计算。对于同一时钟边沿偏移较大的寄存器,如果顺序相邻,那么在向其提供时钟时,就会有违背时序的潜在风险,甚至使功能失效。这是ASIC设计失败最主要的原因。
下图为两个顺序相邻触发器时钟偏移的例子。

在这里插入图片描述
在这里插入图片描述

短路径问题

时钟偏移中的短路径问题与触发器的保持时间违背很相似。在两个相邻触发器之间的数据传播延迟比时钟偏移还短时,就会出现该问题。
下图的电路图和时序图可以说明短路径问题
在这里插入图片描述
由于同一个时钟沿到达第二个触发器比新数据要慢,因此第二个触发器在与第一个触发器同样的边沿处,切换为与第一个触发器同样的值。这会使U2在与U1同一个边沿处移位同样的数据,最终导致功能错误。

时钟偏移和短路径分析

之前提到,在两个顺序上相邻的触发器之间的数据路径传播延迟比两者之间的时钟偏移小时,会出现时钟偏移和短路径问题

延迟如下图所示:
在这里插入图片描述
在这里插入图片描述
因此,为了识别带有该问题的路径,用户必须提取出时钟偏移(如Tck2)和短路径延迟(如Tcq1+Trdq1-Thold2)。

短路径问题解决方法

使时钟偏移最小化。

在这里插入图片描述

在数据路径上加入延迟

通过在数据路径上增加延迟(Trdq1)而最终使整个数据路径的延迟大于时钟偏移,可以消除短路径问题。

时钟反转

在这里插入图片描述
在这里插入图片描述

交替使用相位时钟

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog时钟电路设计可以采用PLL锁相环对时钟源进行分频或倍频的方法,也可以使用硬件描述语言描述分频逻辑。其,行波计数器和门控时钟是常见的时钟电路设计技术。 行波计数器是一种常用的时钟分频器,通过将计数器的输出信号与输入信号进行异或操作,实现对输入信号进行分频。虽然行波计数器的时钟偏移现象相对较轻,但是消耗的资源较多且功耗较大。 门控时钟设计是通过控制时钟信号的开关门来实现时钟控制。在门控时钟设计,可以使用多种方法实现时钟的开关控制,如使用门控时钟触发器等。门控时钟设计可以实现对时钟信号的灵活控制,适用于需要对时钟进行调整和控制的场景。 综上所述,Verilog时钟电路设计可以根据具体需求选择合适的时钟分频技术,如行波计数器或门控时钟设计。 <span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [基于verilog的时钟管理电路设计(奇数/偶数分频、门控时钟等)](https://blog.csdn.net/PPRAM/article/details/125510822)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *3* [verilog 设计一个电子钟](https://blog.csdn.net/weixin_46085748/article/details/111395741)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值