inout的具体使用方法

下面是一种典型的inout端口的使用方法:

inout io_data;   //inout口

reg out_data;   //需要输出的数据

reg io_link;     //inout口方向控制

assign io_data = io_link?out_data:1'bz; //这个是关键

当inout端口作为输入口使用时,一定要把它置为高阻态,让例子中的io_link=0即可;

当inout端口作为输出口使用时,则将实例中的io_link=1,对out_data赋值就可以了。


  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值