深度为8,位宽为8bit的单端口RAM

单端口RAM只有一套地址总线,读操作和写操作是分开的。

module ram_single(clk,addm,cs_n,we_n,din,dout);
	input clk,cs_n,we_n;
	input [2:0] addm;
	input [7:0] din;
	output [7:0] dout;
	reg [7:0] dout;
	reg [7:0] raml [7:0];  //8*8寄存器


	always @ (posedge clk) begin 
		if(cs_n)
			dout <= 8'bzzzz_zzzz;
		else if(we_n)
			dout <= raml[addm];
		else
			raml[addm] <= din;
	end
	
endmodule


`timescale 1ns/1ns

module ram_single_tb;
	reg clk,we_n,cs_n;
	reg [2:0] addm;
	reg [7:0] din;
	wire [7:0] dout;

	ram_single n1 (clk,addm,cs_n,we_n,din,dout);
	
	initial begin
		clk = 0;
		addm = 0;
		cs_n = 1;
		we_n = 0;
		din = 0;
	#5	cs_n = 0;
	#915	we_n = 1;
	end
	always begin
		#10 clk = ~clk;
	end
	initial begin
		repeat(7) begin
			#40 addm = addm+1;
			    din = din +1;
		end
			#40 repeat (7)
				#40 addm = addm-1;
	end

		//#200 $finish;
endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值