APB_UART模块DUT&Testbench实践

0.下面以APB_UART模块为例练习Verilog模块编写和基于UVM的testbench搭建.

1.DUT&Testbench源码:http://pan.baidu.com/s/1sjFg8aD

2.使用:在testbench目录下>run_test -test test.sv -uvm -vcs -fsdb即可。

3.结果演示:



  • 5
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 29
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 29
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值