我的第一个FPGA实验

经过几天痛苦的FPGA学习过程,终于能在开发板上跑我的第一个简单到不能再简单的FPGA程序 ———二选一多路器。以下是我的详细实验描述。

实验目的:使用三个按钮组成二选一多路器的三个输入信号控制一个LED输出(亮或不亮)
实验平台:装有Quartus II的PC机、Cyclone III EP3C25F324C6开发板
实验步骤:
1. 打开Quartus II,创建一个新的工程。



2. 将工作目录设置为c:/altera/muxtwo; 工程名和上层设计实体名:muxtwo;然后按”Next”进入下一个设置窗口。



3. 弹出是否创建工程目录时,按”是”。



4. 选择项目文件窗口,直接按“next”.



5. 选择FPGA芯片型号,我们所选的是EP3C25F324C6.



6. EDA工具设置窗口,这里我们先跳过,按“Finish”.



7. 新建verilog HDL文件.File->New->Verilog HDL File->“OK”



8. 在新建立的.v文件中输入如下文本,并按File->Save As,保存成muxtwo.v.
module muxtwo(out, a, b, sl);
input a, b, sl; //输入信号
output out; //输出信号
reg out;
always @ (sl or a or b) //只要有一个有变化就执行
if(!sl) out = a; //如果sl为真那么out为a的电平
else out = b; //否则out为b的电平
endmodule



9. 编译,按如下图红色框内的按钮(这次便宜的目的是方便接下来的管脚配置)。



10. 编译完成后会有如下的提示窗口,按“确定”。



11. 管脚分配,进入Assignments->Pins,如下图将4个信号分配给4个管脚。(这是根据该型号来分配的,在该型号中PIN_F1为按钮1,PIN_F2为按钮2, PIN_P13为LED0, PIN_A10为按钮3),分配完再编译一次。



12. 再次编译成功之后开始下载到芯片上。按下图中红色框内的下载图标:



13. 按下图中红色框内的start 开始下载。



14. 当右上角的进度条显示为100%时表示已经成功下载到芯片上。此时我们可以来验证这个FPGA程序的正确性了。
(1) 按下按钮1:LED不亮
(2) 按下按钮2:LED亮
(3) 同时按下按钮1+3:LED亮
(4) 同时按下按钮2+3:LED不亮

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值