testbench产生奇数周期时钟

testbench产生奇数周期时钟

`timescale语法解析

在Verilog中,timescale 声明是用来指定仿真时钟的时间单位和精度。这个声明必须出现在模块定义之前,并且通常放在所有其他声明之前。

timescale 1ns / 100ps 表示以下内容:

  • timescale:这是一个关键词,用于指定仿真时钟的时间单位和精度。 1ns / 1ps:这是一个时间单位和精度的值对。
  • 1ns:这是时间单位(time unit),表示时钟周期的时间长度。
  • 100ps:这是精度(precision),表示仿真中可以表示的最小时间单位。如定义为timescale 1ns / 1ns ,产生精度只能是ns正数

5ns周期时钟产生说明

原先错误代码,设置周期为5ns,只能产生6ns周期

`timescale 1ns / 1ns
localparam CLK_PERIOD   = 5.0;//200MHz
initial begin
   	clk = 1'b1;
    forever begin
        #(CLK_PERIOD/2) 
        clk = ~clk ;
    end
end

修改代码,可以产生5ns周期代码

`timescale 1ns / 100ps
localparam CLK_PERIOD   = 5.0;//200MHz
initial begin
   	clk = 1'b1;
    forever begin
        #(CLK_PERIOD/2) 
        clk = ~clk ;
    end
end
  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值